按键抖动消除电路(Verilog)

用Verilog实现按键抖动消除电路,抖动时间可以设置。

RTL代码:

module key_glitch 
#(
	parameter CNT_KEY = 6
 )
(
	input clk,
	input rst_n,
	input key_in,
	output reg key_flag
);
	reg [7:0]cnt;
	reg key_in_r0;
	reg key_in_r1;
	wire key_edge;
	
	always@(posedge clk or negedge rst_n)
	if(!rst_n)begin
		key_in_r0 <= 1'b0;
		key_in_r1 <= 1'b0;
	end
	else begin 
		key_in_r0 <= key_in;
		key_in_r1 <= key_in_r0;
	end
		
	assign key_edge = (~key_in_r1 & key_in_r0)|(key_in_r1 & ~key_in_r0);

	always@(posedge clk or negedge rst_n)
	if(!rst_n)
		cnt <= 'd0;
	else if(key_edge)
		cnt <= 'd0;
	else if(cnt == CNT_KEY)
		cnt <= cnt;
	else 
		cnt <= cnt + 1'b1;
	
	always@(posedge clk or negedge rst_n)
	if(!rst_n)
		key_flag <= 1'b0;
	else if(cnt == CNT_KEY-1)
		key_flag <= key_in;
	
endmodule

仿真:

module key_glitch_tb;

	// Inputs
	reg clk;
	reg rst_n;
	reg key_in;

	// Outputs
	wire key_flag;

	// Instantiate the Unit Under Test (UUT)
	key_glitch  
	#(.CNT_KEY(3))
	uut(
		.clk(clk), 
		.rst_n(rst_n), 
		.key_in(key_in), 
		.key_flag(key_flag)
	);

	initial clk = 0;
	always#10 clk = ~clk;
	
	initial begin
		// Initialize Inputs
		rst_n = 0;
		key_in = 0;

		// Wait 100 ns for global reset to finish
		#100;
		rst_n = 1;
		
      key_in = 1;
		#20;
		key_in = 0;
		#70;
		key_in = 1;
		#50;
		key_in = 0;
		#90;
		key_in = 1;
		#200;
		key_in = 0;
		#20;
		key_in = 1;
		#30;
		key_in = 0;
		#60;
		key_in = 0;
		#90;
		key_in = 1;
		#20;
		key_in = 0;
		#20;
		key_in = 1;
		#90;
		
		$stop;
		// Add stimulus here

	end
      
endmodule


  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值