PLL实现流水灯

modelsim 仿真脚本,注意需要添加PLL仿真IP库

#vlog # 编译
#vlib # 建立一个新的工作库/逻辑库
#vmap # 映射逻辑库名到制定的目录
#add wave # 将信号加入波形
#run # 执行仿真(默认执行100ns,-all 执行到结束)
#quit # 退出仿真
vlib work
vmap work work

#library
#vlog  -work work ../../library/artix7/*.v

#IP
#vlog  -work work ../../../source_code/ROM_IP/rom_controller.v
vlog  -work work ../vivado_project/project_1/project_1.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v

#SourceCode
vlog  -work work ../design/*.v


#Testbench
vlog  -work work sim_tb_top.v 

vsim -voptargs=+acc -L unisims_ver -L unisim -L work -Lf unisims_ver work.glbl work.sim_tb_top
#vsim -voptargs=+acc work.sim_tb_top

#Add signal into wave window
do wave.do

#run -all

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值