Vivado和Modelsim联合仿真前准备

Vivado内自带的仿真器性能不是很好,所以在仿真的环节上使用Modelsim同Vivado进行联合仿真。我使用的是Vivado 2019.2 和Modelsim 10.7。
Vivado2019.2安装教程
Modelsim10.7安装教程
在安装完成以后需要将在Vivado内进行配置。

Vivado内配置

首先如图所示进入setting界面
在这里插入图片描述
在setting下找到第三方仿真器,如图所示添加Modelsim和Xilinx仿真库的路径。
在这里插入图片描述
其中Modelsim的路径是Modelsim的安装路径,即Modelsim.exe的所在位置。仿真库的路径我们先新建一个文件夹,后面会在这个文件夹下面进行仿真库的编译(文件夹所在的位置最好预留足够的空间)。
接下来,对Xilinx的仿真库进行编译,如果不编译仿真库的话,那么设计中使用的Xilinx的IP核都会无法仿真。
选择下图的Compile Simulation Libraries
请添加图片描述
在下图中选择仿真器的型号Modelsim Simulator,编译库的路径选择我们在上文中新建的文件夹路径,仿真器的路径选择之前的可执行文件所在位置。记得勾选编译Xilinx IP。然后进行仿真库的编译。编译的过程视电脑性能耗费时间不等,大概需要1小时左右。
请添加图片描述
编译完成后,在仿真库文件夹下会出现这些IP核文件夹。
在这里插入图片描述
在这个文件夹里找到modelsim.ini文件,后面需要将这个文件添加进环境变量里。

环境变量配置

右键我的电脑-属性-高级系统设置,选择环境变量。
在这里插入图片描述
在这里插入图片描述
在用户变量里新建变量,变量名为MODELSIM,值为上文中modelsim.ini的路径。
在这里插入图片描述
配置完环境变量后点击确定,之后重启电脑(一定要重启,不然这个环境变量等于没有配置,在仿真的时候Modelsim还是找不到Xilinx的仿真库)。

Vivado进行仿真

在编写完testbench文件以后,Run Simulation-Run Behavioral Simulation就可以使用modelsim进行仿真了
在这里插入图片描述

  • 1
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值