事件/边沿检测--上升沿检测、下降沿检测

检测上升沿:(从低到高的跳变  __| )

input sig_a;

reg sig_a_d1;

wire sig_a_risedge;

alaways @(posedge clk or negedge rstb)

     begin

              if(!rstb)

                         sig_a_d1 <= 1'b0;

               else

                         sig_a_d1 <= sig_a;

      end

assign sig_a_risedge = sig_a & !sig_a_d1;

即为检测sig_a 的上升沿信号(图中圈),sig_a_risedge的圈即检测出上升沿信号,作为指示信号 

检测下降沿:(从高到低的跳变 |__  )

input sig_a;

reg sig_a_d1;

wire sig_a_faledge;

alaways @(posedge clk or negedge rstb)

     begin

              if(!rstb)

                         sig_a_d1 <= 1'b0;

               else

                         sig_a_d1 <= sig_a;

      end

assign sig_a_faledge = !sig_a & sig_a_d1;

 

 即为检测sig_a 的下降沿信号(图中圈),sig_a_faledge的圈即检测出下降沿信号,作为指示信号 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值