上升沿的检测

87 篇文章 35 订阅 ¥19.90 ¥99.00

上升沿的检测

在这里插入图片描述
第一种方法

input  vsync;
reg vsync_r1;
reg vsync_r2;
wire vsync_rise;
wire vsync_r2_n;
always@(posedge clk)
begin
	vsync_r1 <= vsync;
	vsync_r2 <= vsync_r1;
end
assign vsync_r2_n = ~vsync_r2;
assign vsync_rise = vsync_r1  &  vsync_r2_n ;

第二种方法

reg [1:0] r_spi_clk_edge = 2'b00;
always@(posedge i_clk)
begin
    r_spi_clk_edge <= {r_spi_clk_edge[0],i_spi_clk};
end

欢迎关注我,关于FPGA的问题欢迎留言讨论!
在这里插入图片描述

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值