FPGA实现分频器

分频器是数字系统设计中最常见的基本电路之一。所谓“分频”,就是把输入信号的频率变成成倍的低于输入频率的输出信号。分频器分为偶数分频器和奇数分频器,和计数器非常类似。

六分频计数器

module divider(
    input 		wire		sys_clk,
    input 		wire		sys_rst_n,
    output 		reg		clk_out
    );
reg	[1:0]		cnt;

always@(posedge sys_clk or negedge sys_rst_n)
	if(sys_rst_n == 1'b0)
		cnt <= 2'd0;
	else	if(cnt == 2'd2)
		cnt <= 2'd0;
	else 
		cnt <= cnt + 2'd1;

always@(posedge sys_clk or negedge sys_rst_n)
	if(sys_rst_n == 1'b0)
		clk_out <= 1'd0;
	else	if(cnt == 2'd2)
		clk_out <= ~clk_out;
	else 
		clk_out <= clk_out;

endmodule

仿真代码(没有仿真出来结果,还没找到哪一步出了问题)

module tb_divider;

	// Inputs
	reg sys_clk;
	reg sys_rst_n;

	// Outputs
	wire clk_out;

	initial begin
		// Initialize Inputs
		sys_clk = 1'b1;
		sys_rst_n <= 1'b0;
		// Wait 20 ns for global reset to finish
		#20
		sys_rst_n <= 1'b1;
	end
	
	always #10 sys_clk = ~sys_clk;
	
	// Instantiate the Unit Under Test (UUT)
	divider uut (
		.sys_clk(sys_clk), 
		.sys_rst_n(sys_rst_n), 
		.clk_out(clk_out)
	);
      
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值