vivado2019.2对modelsim2019.2编译库全报错解析

  • 最近在用vivado 2019.2编译modelsim 2019.2库时,所有库全部报错,查阅了博主们的各种解决办法,最终在一篇文章的评论中找到了解决办法,特此记录
问题描述:

1、ERROR: [Vivado 12-5602] compile_simlib failed to compile for modelsim with error in xxx libraries
2、ERROR:[Common 17-39]’ compile_simlib’ failed due to earlier errors
(当时报错截图没有保存,好像是420左右个错误)

解决办法

1、确认Modelsim和Vivado版本是否匹配,移步Vivado Simulation
2、检查环境变量中是否存在其他版本的modelsim,如果有的话建议移动到要编译modelsim版本的下方,或者先删除已有的,等编译完再添加在这里插入图片描述
3、在破解modelsim时,很多教程写到要把modelsim.ini中的voptflow=1改为voptflow=0,很大可能是由此原因导致的,实测将voptflow改为1后并不影响modelsim的破解。
由于已经编译过库并且报错,当修改完voptflow=1再编译时,vivado是不会从modelsim安装目录复制modelsim.ini文件作为副本的,需要在vivado起始界面的tcl窗口中,输入pwd,得到当前tcl的工作目录,打开文件资源管理器,进入这个目录,我们可以看到有modelsim.ini文件,删除之后重新开始编译即可在这里插入图片描述在这里插入图片描述

参考

1、vidado 2019.2与modelsim2019.2的安装、破解、联合仿真配置
2、Vivado联合ModelSim仿真设置(附图步骤)
3、Modelsim编译Vivado仿真库报错(vlog-12110)
4、Modelsim常见配置问题

  • 8
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado 2019.2版本中,由于内置仿真器性能有限,因此可以选择使用ModelsimVivado进行联合仿真。根据引用中提供的信息,可以按照Vivado 2019.2安装教程和Modelsim 10.7安装教程进行安装。在安装完成后,需要在Vivado内进行配置,可以参考引用中的Vivado内配置教程。 一旦安装和配置完成,你可以开始使用VivadoModelsim进行联合仿真。根据引用中的描述,首先编写完testbench文件后,你可以选择在Vivado中运行仿真,具体操作是通过选择"Run Simulation"然后选择"Run Behavioral Simulation"来使用Modelsim进行仿真。 通过上述步骤,你可以实现Vivado 2019.2Modelsim 10.7的联合仿真,从而提高仿真性能和灵活性。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [VivadoModelsim联合仿真前准备](https://blog.csdn.net/weixin_43822967/article/details/124856605)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [modelsim2019.2 + vivado2018.2仿真xilinx原语.doc](https://download.csdn.net/download/qq_22222449/12010403)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值