VIVADO和MODELSIM联合仿真编译库问题

VIVADO和MODELSIM联合仿真编译库问题

初次在VIVADO中使用MODELSIM仿真器,或仿真时由于编译库问题报错,可进行如下步骤,个人经验,源于百度,如有问题,请多多指教。

1. 打开VIVADO,在工具栏点击Tools->Compile Simulation Libraries

在这里插入图片描述
Compiled Library location处选择编译库存放的位置,可在任意处新建文件夹即可;Simulation executable path选择modelsim安装目录下win64文件夹,点击Compile进行编译。

2. 编译完成后,打开库路径下的modelsim.ini文件并找到“;mvc_lib = $MODEL_TECH/…/mvc_lib”,如下图所示:

在这里插入图片描述
在这里插入图片描述
下面即为编译好的IP所处的路径,将这些全部复制。

在这里插入图片描述

3. 然后打开modelsim安装路径下的modelsim.ini文件,取消只读属性,如下图所示:

在这里插入图片描述

4. 将其打开,找到“modelsim_lib = $MODEL_TECH/…/modelsim_lib”处粘贴添加ip库路径。

在这里插入图片描述

5.打开Modelsim软件,即可发现xilinx的ip全被加载进modelsim了。

在这里插入图片描述
在VIVADO进行仿真时,在设置中选择对应的编译库位置:
在这里插入图片描述

  • 4
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 1. 打开Vivado,创建一个新的工程。 2. 在工程中添加需要使用的IP核或者自己编写的Verilog/VHDL代码。 3. 在Vivado中生成IP核的例化代码,或者将自己编写的代码综合成网表。 4. 将生成的例化代码或者网表导出到一个文件夹中。 5. 打开ModelSim,创建一个新的工程。 6. 在工程中添加需要使用的IP核或者自己编写的Verilog/VHDL代码。 7. 在ModelSim编译需要使用的文件。 8. 在ModelSim中将生成的例化代码或者网表导入到工程中。 9. 在ModelSim中进行仿真测试。 注意事项: 1. 在Vivado中生成的例化代码或者网表需要与ModelSim中使用的文件相匹配。 2. 在ModelSim编译文件时需要注意文件的版本和编译选项。 3. 在进行仿真测试时需要注意仿真时钟的频率和仿真时间的长度。 ### 回答2: VivadoModelSim是FPGA设计中常用的两个工具,其中Vivado是一个综合的FPGA设计工具,可以实现设计的综合、布局、时序分析等操作,而ModelSim是一个模拟工具,可以将设计进行仿真。在使用VivadoModelSim进行联合编译时,需要遵循以下步骤。 1. 在Vivado中生成IP核,并导出到ModelSim,在Vivado中打开Tcl Console,输入以下命令: ``` write_ipxact <file-path>.ipxact ``` 其中,`<file-path>`是IP核文件的路径。然后,在ModelSim中导入IP核文件。 2. 在ModelSim编译IP核,通过以下命令编译: ``` vlib <library_name> vcom -work <library_name> <file-path>.vhd ``` 其中,`<library_name>`是名称,`<file-path>`是IP核综合后的文件路径。这里需要注意,文件路径中使用的是`_sim`后缀的文件,而非`_synth`。 3. 在Vivado中生成模拟文件和模拟约束文件,在Vivado中双击Design Sources栏中的设计文件,在设计文件中指定仿真选项。在弹出的对话框中,选择Create Simulation Sources,然后在仿真源文件对话框中选择VHDL Simulation,选择Save. 4. 在Vivado中生成模拟文件和模拟约束文件,还需要生成仿真约束文件。在约束文件中定义时钟、输入和输出等信号的约束条件。Vivado约束文件的后缀为`.xdc`。 5. 最后,在ModelSim中执行仿真操作。在ModelSim中打开仿真文件夹,然后在仿真文件夹中,选择要仿真的文件。在Tcl控制台中,执行以下命令: ``` vsim -t 1ps -L <library_name> -L unisims_ver <tb_entity_name> add wave * run -all ``` 其中,`<library_name>`是IP核的名称,`<tb_entity_name>`是顶层实体的名称。这些信息都可以在Vivado中的仿真约束文件中找到。 综上所述,联合编译需要在VivadoModelSim中分别执行一些操作,然后才能完成联合编译的操作。操作步骤需要遵循上述步骤,其中需要注意VivadoModelSim的各项设置信息,以及正确导入IP核文件和生成模拟文件和模拟约束文件等。同时,联合编译还需要进行仿真测试,以保证设计的正确性和稳定性。 ### 回答3: Vivado是Xilinx公司推出的开发工具,主要用于FPGA和SoC的设计和验证。而ModelSim是一款流行的仿真工具,可以模拟各种数字电路和系统。 在使用Vivado设计FPGA和SoC时,往往需要使用文件来支持设计功能。而要将VivadoModelSim联合起来使用,需要先在Vivado中生成IP核,再将其导出到ModelSim中进行仿真。下面具体介绍使用步骤: 1.在Vivado中生成IP核 在Vivado中,首先需要创建一个工程,然后在IP目录中选择相应的IP核元件。将其添加到设计中后,需要进行综合生成网表和进行逻辑仿真。 在生成网表之后,可以将其导出为ModelSim可识别的约束文件- .tcl格式。详情操作如下: 在生成的Project Manager中点击“Generate Output Products”,打开输出产品向导。选择“Create A Custom Output Product”并点击Next,然后选择“Netlist for Simulation”并点击下一步。在Netlist栏中选择“Include Constraints”选项,然后选择仿真设置文件的名称和位置。完成后,点击Finish即可。 2.将IP核添加至ModelSim中进行仿真 在前面的步骤中,已经将IP核生成了约束文件.tcl格式以供ModelSim仿真时使用。现在需要将这个文件添加至ModelSim中进行仿真。 打开ModelSim,然后选择File -> New Project。选择所需的仿真文件夹并添加仿真文件,包括约束文件.tcl、仿真源文件、仿真波形文件等。 接着在ModelSim的命令行模式中,将约束文件.tcl加载到工程中: source /path/to/generated/tcl/file.tcl 可以在ModelSim的界面中切换到Design视图查看IP核的结构。 最后就可以使用ModelSim对IP核进行仿真验证。需要注意的是,在仿真时需要按照正确的时钟和数据信号模拟文件进行仿真,以便尽可能准确地验证设计。 综上所述,使用Vivado联合ModelSim进行仿真需要先在Vivado中生成IP核并导出约束文件,再将其添加至ModelSim中进行仿真。这样可以有效提高设计的准确性和可靠性,从而更好地应用于实际应用场景中。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值