自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(18)
  • 收藏
  • 关注

原创 DFT变换 频率分辨率问题 子载波间隔

OFDM DFT变换 频率分辨率问题 子载波间隔 matlab代码

2023-11-16 11:03:38 176 1

原创 Win11系统,WLAN不见了,解决方法!

win11 WLAN Wi-Fi找不到

2023-11-15 20:30:51 477

原创 矩形窗、汉宁窗、升余弦窗等Nyquist窗函数matlab仿真代码

矩形窗、汉宁窗、升余弦窗等Nyquist窗函数matlab仿真代码 升余弦滤波器

2023-11-14 14:02:07 927 1

原创 《深入浅出通信原理》信号频谱,傅里叶变换原理总结,简单易懂适合小白~

通信信号与频谱,傅里叶变换本质,浅显易懂。

2023-10-26 20:12:31 3054

原创 Verilog数字系统设计教程【夏宇闻】笔记5

各种运算符、赋值语句、结构说明语句等逻辑运算符在Verilog HDL 语言中存在3种逻辑运算符(1)&& 逻辑与(2)|| 逻辑或(3)! 逻辑非为了提高程序的可读性,明确表达各运算符间的优先关系,建议使用括号。关系运算符关系运算符共有以下 4种:(1)a<b a小于b(2)a>b a大于 b(3)a<=b a 小于或等于b(4)a>=b a大于或等于b在进行关系运算时,如果声明的关系是假的(flase),则返回值是0;如果声明的关系是真

2023-10-09 16:58:52 92 1

原创 Verilog数字系统设计教程【夏宇闻】笔记4

先介绍4 个最基本的数据类型,它们是:reg 型、wire 型、integer型和 parameter 型。

2023-10-08 16:29:10 96 1

原创 Verilog数字系统设计教程【夏宇闻】笔记3

模块的端口声明了模块的输入输出口。格式如下:module 模块名(口1,口 2,口 3,口4);模块的端口表示的是模块的输入还是输出口名,也就是说它与别的模块联系端口的标识。在模块被引用时,在引用的模块中,有些信号要输入到被引用的模块中,有些信号需要从被引用的模块中取出来。用在引用模块时其端口可以两种方法连接:(1)在引用时,严格按照模块定义的端口顺序来连接,不用标明原模块定义时规定的端口名,例如:模块名( 连接端口1信号名,连接端口2信号名连接端口3信号名,……,);

2023-10-07 16:49:22 134 1

原创 Verilog数字系统设计教程【夏宇闻】笔记2

Verilog HDL 既是一种行为描述的语言也是一种结构描述的语言。这就是说,无论描述电路功能行为的模块或描述元器件或较大部件互连的模块都可以用 Verilog 语言来建立电路模型。如果按照一定的规则和风格编写,功能行为模块可以通过工具自动地转换为门级互连的结构模块。Verilog 模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们所对应的模型类型共有以下 5 种,现分别给以简述。(1)系统级(system-level)用语言提供的高级结构能够实现所设计模块的外部性能的模型。

2023-09-28 16:24:14 134 1

原创 《verilog数字系统设计教程》笔记1

Verilog和VHDL;Verilog HDL 设计法与传统的电路原理图输入法的比较;Verilog 的标准化与软核的重用;软核、固核和硬核的概念以及它们的重用;自顶向下(Top-Down)设计的基本概念;具体模块的设计编译和仿真的过程;

2023-09-27 16:48:40 53 1

原创 OFDM符号解调定时提前问题(循环前缀CP)

OFDM符号解调定时提前问题(循环前缀CP)

2023-04-05 19:16:56 210 2

原创 VIVADO和MODELSIM联合仿真编译库问题

初次在VIVADO中使用MODELSIM仿真器,或仿真时由于编译库问题报错,可进行如下步骤,个人经验,源于百度,如有问题,请多多指教。

2023-02-16 19:43:34 2381

原创 基于VIVADO与MODELSIM联合仿真的简化RISC_CPU设计(附全部代码)

《verilog》数字系统设计教程夏宇闻基于VIVADO和MODELSIM的简化RISC_CPU设计。

2022-09-28 20:31:25 1340

原创 简化串行EEPROM系统设计

简化串行EEPROM系统设计 《verilog系统设计原理》夏宇闻vivado

2022-09-26 23:41:12 551

原创 vivado工程modelsim仿真出现:

add_1 mustbe in range[-1 depth–1]。在使用fft ip核时出现该错误。将输入数据s_axis_data_data及输入数据有效信号s_axis_data_valid,last,等信号初始化为0,而非蓝色未知态。修改后ip核正常工作

2022-08-23 08:50:19 330

原创 win11修改右键 显示更多选项直接展开

win11修改右键 显示更多选项

2022-08-12 23:29:12 618

原创 将matlab数据写入txt

分别将数据的实部虚部写入txt文档。a=SubFrmTransTDDataSig(😃.’ ;a=a(😃. *1234;a_real=round (real(a(😃))a_imag=round(imag (a(😃)) ;fid=fopen( C:\Users\lenovo\Desktop\wb_ sc_ fdma_ data_ v0726\data_ real. txt’,wt+’ );for i=1:length(a)fprintf(fid, %d\n ,a_real(i));fclose

2022-08-11 19:46:29 1177 1

原创 SDK闪退问题

sdk闪退问题:删除vivado工程文件中scdk文件夹下的metadata文件夹,从vivado中LaunchSDK。

2022-08-10 18:16:49 808

原创 频谱仪观测频域波形,时序波形操作简单版

频谱仪的使用(频域):点击freq channel选择中心频率,例如2.43Ghz;点击span选择观测带宽, 例如带宽40 (实际使用30k*1200=36M),可以选择观测带宽60MHz、即可观察频谱。观测 时域波形:将span选择为0,或点击zero span,则可观测时域波形;点击sweep.选择时域观测时长,例如100ms则可以观察整帧。注:点击single可以不断抓取定格画面,旁边 cont即继续run, restart 为重置。Meas可以观测实际带宽长度等,Mode 中可以将IQ

2022-08-10 17:55:58 2814

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除