vivado test_bench的几个点

 

1.对仿真文件的写入写出

可以用$readmemb函数、$readmemh函数

$readmemb和$readmemh用来从文件中读取数据到存储器中。其中readmemb要求每个数字是二进制数,readmemh要求每个数字必须是十六进制数字。数字不能包含位宽说明,数字中可以有不定值x或X,高阻值z或Z,和下划线(_),和Verilog语法中的用法是一样的。

一共有下边6种用法:
(1)$readmemb("<数据文件名>",<存储器名>);
(2)$readmemb("<数据文件名>",<存储器名>,<起始地址>);
(3)$readmemb("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);
(4)$readmemh("<数据文件名>",<存储器名>);
(5)$readmemh("<数据文件名>",<存储器名>,<起始地址>);
(6)$readmemh("<数据文件名>",<存储器名>,<起始地址>,<终止地址>);


写入文件的操作与C语言类似,首先打开文件,写入数据之后关闭文件。

outputfile = $fopen("file2.txt","w");打开文件

$fwrite(outputfile,"%b\n",memory);写入数据

$fclose(outputfile);关闭文件


                        
原文链接:https://blog.csdn.net/Master_0_/article/details/123703456

写入也可以用 $fdisplay

  outputfile = $fopen(../"name")

 $fdisplay(outputfile , "%d", 内容变量);

 $fclose(outputfile );

2.对端口模块的例化

当端口的信号很多的时候,可以这样例化

logic aclk;   //要用的端口信号

......

mode_name  mode_name_u (.*);

3.持续学习

testbench_FPGADesigner的博客-CSDN博客

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值