FPGA入门学习——流水灯

流水灯

实验要求

实现四个灯循环亮,每个灯亮0.5s

程序框图

在这里插入图片描述

波形图

在这里插入图片描述
led_out由led_out_reg取反获得,每当cnt_flag获得一个脉冲时,就将led_out_reg的值左移

代码

module water_led
#(
	parameter CNT_MAX = 25'd24_999_999
)
(
	input clk,reset,
	output[3:0]  led_out
);

reg[24:0] cnt;
reg cnt_flag;
reg[3:0] led_out_reg;


// 计数器
always @(posedge clk or negedge reset)
	if(reset == 1'b0)
		cnt <= 25'd0;
	else if(cnt == CNT_MAX)
		cnt <= 25'd0;
	else
		cnt <= cnt + 25'd1;
		
// 脉冲信号
always @(posedge clk or negedge reset)
	if(reset == 1'b0)
		cnt_flag <= 1'b0;
	else if(cnt == CNT_MAX - 25'd1)
		cnt_flag <= 1'b1;
	else
		cnt_flag <= 1'b0;
		

always @(posedge clk or negedge reset)
	if(reset == 1'b0)
		led_out_reg <= 4'b0001;
	else if(led_out_reg == 4'b1000 && cnt_flag == 1'b1)
		led_out_reg <= 4'b0001;
	else if(cnt_flag == 1'b1)
		led_out_reg <= led_out_reg << 1;
	else
		led_out_reg <= led_out_reg;
	
assign led_out = ~led_out_reg;
endmodule

仿真测试

`timescale 1 ns/ 1 ns
module water_led_vlg_tst();


reg clk;
reg reset;
                                      
wire [3:0]  led_out;

                        
water_led
 #(
	.CNT_MAX(25'd24)
 )
i1 (
	.clk(clk),
	.led_out(led_out),
	.reset(reset)
);
initial                                                
begin
	clk = 1'b1;
	reset <= 1'b0;
	#20
	reset <= 1'b1;
end                                                    
always #10 clk = ~clk;                                                
                                         
endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值