自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(84)
  • 资源 (9)
  • 收藏
  • 关注

原创 python学习笔记——软件安装

1. 安装并验证Python环境2. 安装并设置Visual Studio Code编辑器3. 设置Visual Studio Code编辑器4.软件安装包

2023-08-16 10:46:03 775 1

原创 Python 学习笔记——代码基础

python 代码基础知识

2023-08-16 10:16:19 2051

原创 修改文件格式(查看文件拓展名)

很多时候我们直接把txt文件重命名为xxx.c或者别的文件格式,文件类型依然会是txt,文件名并不会变成我们想要的xxx.c,而是xxx.c.txt,也就是下面这个样子给大家介绍2种方法去解决这个问题。

2023-08-02 17:44:30 1159

原创 23届双非秋招指南

秋招建议

2022-10-26 20:07:58 1677 4

原创 使用Guagle_wave软件生成mif+coe文件

生成mif和coe文件的方法

2022-10-24 11:07:03 2909 3

原创 CRC校验码详解+Verilog实现(含代码)

CRC码简介CRC校验码生成步骤CRC码生成多项式CRC校验码Verilog实现

2022-10-09 11:12:50 5798 4

原创 如何处理逻辑设计中的时钟域

详细解释了跨时钟域的处理方法,有多张时序图可以便于理解

2022-10-06 11:18:48 970

原创 FPGA的电源供电

1、供电要求2、PCB设计的电源和地叠层分布3、退耦电容

2022-09-28 19:12:18 2695 2

原创 基于FPGA的高速电路板设计

1、PCB材料选择2、PCB上的传输线走线3、阻抗计算4、降低串扰和维持信号完整性的布线方法

2022-09-28 15:40:24 1839

原创 Verilog 有符号数详解(含代码验证)

代码验证sign( )使用有符号位的二进制表示有符号数扩位方法代码验证$signed( )和$unsigned( ) 函数

2022-09-26 21:19:13 4250

原创 FPGA——用VGA时序显示图像(3)(代码)

FPGA实现VGA时序代码+解析

2022-09-20 20:00:38 1620

原创 FPGA 异步复位同步释放 详解

FPGA 异步复位同步释放 详解

2022-09-17 18:09:14 1075

原创 时序约束实战(vivado中时序分析软件的使用)

FPGA(vivado)时序约束的步骤实现

2022-09-13 19:10:29 4232

原创 FPGA刷题——交通灯+售卖机

FPGA状态机:交通灯和售卖机例子

2022-09-07 12:01:53 1259

原创 FPGA刷题——数据位宽转换(整数倍&非整数倍)

FPGA刷题——数据位宽转换(整数倍&非整数倍)

2022-09-02 21:53:59 5840 1

原创 基于FPGA的LCD1602驱动(含代码)

LCD驱动:初始化+写数据 (含代码)

2022-09-01 17:39:58 5739 10

原创 FPGA刷题——数据串转并+数据累加输出

FPGA刷题——数据串转并+数据累加输出

2022-08-26 16:46:33 968 1

原创 FPGA时序约束

时序约束的概念,有例子分析

2022-08-17 21:12:57 2157

原创 FPGA时序分析

时钟基本概念时钟源门控时钟时钟延迟时钟偏移(skew)时钟抖动(jitter)时序分析什么是时序分析?时序路径三要素时序分析基本概念:上升沿&下降沿建立时间&保持时间clock arrive timedata arrive timedata required timeslack...

2022-08-17 11:26:07 3713

原创 FPGA——时钟分频

偶数分频:D触发器级联法+计数器法奇数分频:占空比50%的奇数分频+占空比无要求的奇数分频任意小数分频

2022-08-11 10:38:25 2759

原创 FPGA刷题——信号发生器+冒泡法求6个数中的最小值

FPGA刷题——信号发生器+冒泡法求6个数中的最小值

2022-08-10 12:03:49 986

原创 FPGA刷题——计数器(简易秒表、可置位计数器、加减计数器)

牛客网FPGA刷题——计数器(简易秒表、可置位计数器、加减计数器)

2022-07-29 22:12:24 2261

原创 FPGA刷题——跨时钟域传输(FIFO+打拍+握手)

介绍了跨时钟域的方法:FIFO:格雷码计数器跨时钟多bit数据同步器快慢时钟下的脉冲同步电路实现:握手信号法+边沿检测法

2022-07-29 13:27:45 2751 1

原创 FPGA刷题——存储器(RAM和FIFO的Verilog实现)

Verilog单端口RAM实现双口RAM的实现同步FIFO实现异步FIFO实现

2022-07-28 21:56:15 4293

原创 FPGA刷题——序列检测

牛客刷题序列检测:输入序列连续的序列检测含有无关项的序列检测不重叠序列检测输入序列连续的序列检测

2022-07-25 12:07:48 898

原创 FPGA——SPI总线控制flash(3)含代码

实现spi总线选择与控制,完成spi控制flash系统

2022-07-23 22:47:37 1345 1

原创 FPGA——SPI总线控制flash(2)(含代码)

用SPI总线控制flash读写,含代码,仿真解析

2022-07-22 22:19:19 1730

原创 FPGA——SPI总线控制flash(1)(含代码)

用spi控制flash写使能、flash读状态、flash擦除(vivado)含完整代码,有仿真,有解析

2022-07-21 11:58:46 1906

原创 FPGA——SPI总线详解(概念)

FPGA的spi概念,用spi操作flash概念,包括SPI简介SPI4种模式SPI时序使用SPI总线实现对flash的控制flash芯片管脚:flash芯片存储写使能模块读状态模块擦除模块页读模块页写模块

2022-07-17 21:39:12 5097

原创 FPGA八股文(2)——笔试的FPGA问题汇总(持续更新)

常见FPGA笔试题的概念总结

2022-07-17 10:59:12 6483 2

原创 FPGA刷题P5:根据状态转移表实现时序电路、根据状态转移图实现时序电路、边沿检测

牛客Verilog刷题:根据状态转移表实现时序电路、根据状态转移图实现时序电路、边沿检测

2022-07-16 19:08:18 1047

原创 FPGA刷题P4:使用8-3优先编码器实现16-4优先编码器、 使用3-8译码器实现全减器、 实现3-8译码器、使用3-8译码器实现逻辑函数、数据选择器实现逻辑电路

牛客FPGA刷题:使用8-3优先编码器实现16-4优先编码器使用3-8译码器实现全减器实现3-8译码器使用3-8译码器实现逻辑函数数据选择器实现逻辑电路

2022-07-15 19:46:09 3491

原创 FPGA刷题P3: 4位数值比较器电路、4bit超前进位加法器电路、优先编码器电路、 优先编码器

牛客Verilog刷题:4位数值比较器电路、4bit超前进位加法器电路、优先编码器电路、 优先编码器

2022-07-15 18:19:47 1441

原创 FPGA刷题P2:多功能数据处理器、求两个数的差值、使用generate...for语句简化代码、使用子模块实现三输入数的大小比较、使用函数实现数据大小端转化

牛客网FPGA刷题:(都已验证通过)多功能数据处理器求两个数的差值使用generate...for语句简化代码使用子模块实现三输入数的大小比较使用函数实现数据大小端转化

2022-07-10 11:51:29 481

原创 FPGA刷题P1:4选1多路选择器、异步复位的串联T触发器、奇偶校验、移位拼接乘法

牛客网上的FPGA刷题,都已验证可通过!4选1多路选择器、异步复位的串联T触发器、奇偶校验、移位拼接乘法

2022-07-09 21:58:32 972

原创 (数电)各种触发器汇总——FPGA八股文(1)

触发器总结(全)

2022-07-09 10:42:39 5656

原创 FPGA ——IP核的封装和调用(vivado)

IP核的封装和调用,实例,步骤细!

2022-06-15 11:32:20 4837

原创 FPGA 译码器+解码器 (含代码)

FPGA 编码器+译码器

2022-06-14 17:06:18 2942

原创 FPGA 多数表决器(含代码)

多数表决器代码

2022-06-13 17:21:55 2774

原创 一分钟掌握卡诺图化简法

卡诺图讲解

2022-06-13 16:03:22 45789 2

Guagle-wave软件,即下即用

只能生成mif,可以先用记事本打开,另存为coe

2022-10-24

FPGA实现编码器+译码器

FPGA实现编码器+译码器

2022-06-14

图像质量评价指标(全),可结合blog

图像质量评价指标(全),可结合blog

2022-05-09

指纹识别程序,附带word

指纹识别程序,附带word

2022-05-09

HSI原理,blog word版

HSI原理,blog word版

2022-05-09

计算机三级(网络技术)大题套路总结

计算机三级(网络技术)大题套路总结

2022-02-25

计算机三级网络选择题全部知识点总结

计算机三级网络选择题全部知识点总结

2022-02-25

FPGA里面三种存储器(RAM+ROM+FIFO)实战代码,可结合我的原创博客使用

FPGA里面三种存储器(RAM+ROM+FIFO)实战代码,可结合我的原创博客使用

2022-02-23

FPGA花式流水灯,分别用一段式代码和三段式代码书写,含TB文件

帮助理解FPGA状态机一段式代码和三段式代码异同

2022-02-06

FPGA 实现led循环点亮

FPGA 实现led循环点亮

2022-02-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除