FPGA入门——流水灯

前言:记录实现流水灯功能,学习FPGA的项目工程创建流程,计数器编码规范,仿真分析过程

一.项目工程创建

 

 这里使用的是正点原子的新起点开发板,详细的项目创建工程步骤可参考其开发指南;

二、代码编写

module flow_control(
	input sys_clk,
	input sys_rst,
	
	output reg [3:0] flow_out
);
//0.2s
parameter CHANGETIME=1000_0000;//0.2S

wire add_cnt;
wire end_cnt;

reg [23:0] cnt;

always @(posedge sys_clk or negedge sys_rst)begin
	if(!sys_rst)
		cnt <= 1'b0;
	else if(add_cnt)begin
		if(end_cnt)
			cnt <= 1'b0;
		else
			cnt <= cnt + 1'b1;
	end
end

assign add_cnt = 1'b1;
assign end_cnt = add_cnt && cnt==CHANGETIME-1;

always @(posedge sys_clk or negedge sys_rst)begin
	if(!sys_rst)
		flow_out <= 4'b0001;
	else if(end_cnt)begin
		flow_out <= {flow_out[2:0],flow_out[3]};
	end
	

end
endmodule

 参考的明德扬计时器书写规范,一个always里只控制一个信号。另外企业上常用bdf为顶层文件(我司如此),创建flow_led.bdf文件,双击其空白区域将代码生成的模块元件添加其中,再添加对应的引脚(引脚如何添加可参考正点原子开发手册);bdf图如下所示

然后全编译,电路综合生成烧录文件

三.仿真

 仿真可采用Modelsim软件,但此软件需要单独安装并需要编写测试脚本文件属于软件仿真范畴。有硬件环境的话,可以使用SignalTab II工具,此工具集成在Quartus 中,不需要编写测试脚本,你可以认为它就是一个逻辑分析仪,具体的使用方法可参考正点原子新起点开发手册的第4.4节。上图是我仿真采集到的瞬时数据,也可一直采集,这时会看到四个IO挨个输出高电平

四、实验结果

工程文件下载路径:https://download.csdn.net/download/x150061/88304166

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值