自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 资源 (17)
  • 收藏
  • 关注

原创 Linux自有服务(用户与用户组管理、SSH传输)

文章目录一、运行模式二、用户与用户组管理2.1.管理用户(添加、编辑、设密、切换)2.2.用户组管理(添加、编辑、删除)三、SSH服务3.1.远程终端(多用户操作)3.2.SSH文件传输服务  自有服务,即不需要用户独立安装软件的服务,而是系统安装好就可以使用的服务。以下介绍在CentOS基础上介绍。一、运行模式  在Linux中存在一个进程:init(初始化,initialize),进程ID为1.  查看进程命令(root权限):# ps -ef | grep init  该进程存在一个对应的配

2020-05-31 20:46:46 545

原创 经典组合与时序电路——(加法器、触发器、计数器)

文章目录一、半加器与全加器一、半加器与全加器

2020-05-26 19:17:51 10242

原创 CDC跨时钟域问题解决办法详解(亚稳态、异步复位同步释放)

文章目录一、什么是亚稳态?二、同步设计三、异步设计3.1.跨时钟域(CDC)3.2.CDC问题——亚稳态3.3.CDC问题——数据收敛一、什么是亚稳态?亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。导致触发器出现亚稳态的根本原因是由于输入信号不满足触发器的建立时间和保持时间的时序要求。所以要避免亚稳态的发生需要避免输入信号的变化发生建立时间和保持时间的窗口之外即可。二、同步...

2020-05-24 23:13:27 2932

原创 Verilog与SystemVerilog——运算操作符

文章目录一、算术操作符(+ - * / % **)二、相等操作符(==  ! =   ===  ! ==  ==?  !=?)三、逻辑操作符(&& || !)四、按位操作符(~ & | ^  ^~或 ~^)五、缩减操作符(& ~& |  ~|  ^  ~^)六、移位操作符(<< >> <<< >>>)七、关系操作符(> < >= <=)八、条件操作符(?:)九、拼接和复制操作符

2020-05-24 21:18:23 43565 2

原创 UVM——基础类结构图(uvm树、常用继承关系结构)

文章目录验证平台的作用就是找出待测设计(DUT,Design Under Test)中的bug,通常将DUT放到一个验证平台中来实现此过程component与object是UVM中最基本的两个概念。uvm_object是UVM中最基本的类,几乎全部的类都是由uvrn_obje口类派生出来,其中包含uvm_component.uvm_component有两大特性是uvm__obje...

2020-05-14 21:04:49 11961

转载 同步复位和异步复位二者各自的优缺点

同步复位:当时钟上升沿检测到复位信号,执行复位操作(有效的时钟沿是前提)。always @ ( posedge clk );优点:a、有利于仿真器的仿真;b、可以使所设计的系统成为 100% 的同步时序电路,有利于时序分析,而且可综合出较高的 Fmax;c、由于只在时钟有效电平到来时才有效,所以可以滤除高于时钟频率的复位毛刺。缺点:a、复位信号的有效时长必须大于时钟周期,才能真正被系统识别并完成复位任务。同时还要考虑诸如 clk skew 、组合逻辑路径延时 、复位延时等因素(所以复位信号有时需

2020-05-14 18:37:39 7333 1

原创 UVM——RAL模型运用之二(前/后门访问、寄存器值跟踪方式)

文章目录一、两种寄存器访问方式1.1.前门访问1.2. 后门访问1.2.1.访问前的地址映射1.2.2.后门访问1.3.前/后门访问的比较二、两种跟踪寄存器值的方法——prediction2.1.自动预测(auto prediction)2.2.显式预测一、两种寄存器访问方式  利用寄存器模型可以更方便的对寄存器进行操作。两种访问寄存器的方式是前门访问(front-door)和后门访问(bac...

2020-05-10 11:45:45 5801

原创 SVA——断言属性之序列(sequence与property的用法)

一、二、代码示例module sequence_demo(); bit rst_n; bit clk; reg a,b,c; event e1;initial begin forever #10 clk = ~clk;endinitial begin rst_n = 1; #5; rst_n = 0; //复位处理 #5; ...

2020-05-06 21:10:12 26695 10

原创 UVM——RAL模型运用之四(使用ralgen生成regmodel方法、部分枚举变量“_e“含义)

文章目录一、生成RAL model命令一、生成RAL model命令  生成RAL model命令: ralgen [options] -t topname -I dir -uvm {filename.ralf}。ralgen是vcs工具的命令,输入对象是*.ralf文件,生成*.sv文件,即RAL mode就是橘红色框图。ralf文件可以通过excel表格生成。-uvm...

2020-05-05 19:51:26 8387 8

原创 UVM——虚序列器与虚序列(virtual sequencer与virtual sequence)

文章目录一、virtual sequence与virtual sequencer二、virtual sequence and sequencer的产生2.1.嵌入序列器2.2.嵌入序列,控制序列2.3.在环境中连接sequencer到virtual sequencer三、实例应用   对于顶层的测试环境,测试序列所要协调的不再只是面向一个sequencer的sequence群,而是要面向多个se...

2020-05-04 22:30:35 9161 4

原创 UVM——RAL模型运用之三(uvm_reg的访问方法介绍)

文章目录一、寄存器的访问方法汇总二、以前门访问方式对方法进行说明2.1. uvm_reg_field的属性成员介绍2.2. configure()配置uvm_reg_field2.3. 方法——reset()/get()/set()/randomize()—操作期望值2.4. 方法——write()—写入DUT2.5. 方法——read()—读出DUT2.6. 方法——update()—写入DUT...

2020-05-04 21:38:08 2606

原创 UVM——工厂(factory)机制和phase机制

文章目录一、factory工厂机制1.1. 登记注册1.2. 实例化对象1.3. 覆盖override1.4. 检查覆盖是否完成—factory.print()二、phase机制2.1. phase机制组成2.2. phase执行顺序一、factory工厂机制  在UVM中使用工厂模式基本上分为三个步骤。   1) Registration——登记;   2) Construction——...

2020-05-03 23:20:04 6144 2

原创 UVM——RAL模型基础之一(相关层次、设计流程)

文章目录一、RAL设计流程二、RAL模型层次相关类三、RAL模型设计实现3.1.利用RAL generator(ralgen)将寄存器描述性文档生成UVM寄存器模型3.1.1.创建寄存器模型类(ralgen自动生成)3.1.2.将寄存器放入register block容器中,并加入到对应的Address Map3.2.创建RAL适配器(adapter)3.3.验证环境中实例化RAL模型并建立连接3...

2020-05-02 21:15:37 12718 7

PERL在IC中的应用.PDF

PERL在IC中的应用.PDF

2021-09-11

你要的FPGA&数字前端笔面试题都在这儿了.pdf

FPGA与数字前端的必须掌握的面试题

2021-09-11

路科笔试真题完整版1.5.1.pdf

IC验证试题整理

2021-09-11

乐鑫提前批数字IC设计岗(20.06.09).docx

文件中包含了2020年乐鑫科技的数字IC笔试题,可以用来学习借鉴。乐鑫信息科技(上海)有限公司于2008年4月29日在自贸区市场监督管理局登记成立。公司经营范围包括计算机硬件的研究、开发,计算机软件的研发等。

2020-09-25

Switch/Router Architectures:Shared-Bus and Shared-Memory Based Systems 2018.pdf

路由是把信息从源穿过网络传递到目的的行为,在路上,至少遇到一个中间节点。路由通常与桥接来对比,在粗心的人看来,它们似乎完成的是同样的事。它们的主要区别在于桥接发生在OSI参考协议的第二层(链接层),而路由发生在第三层(网络层)。这一区别使二者在传递信息的过程中使用不同的信息,从而以不同的方式来完成其任务。

2020-09-07

Low Power Methodology Manual for Soc Design.pdf

该手册描述了整个Soc芯片的有关低功耗的设计方法,以及如何解决低功耗的问题,提供了关于低功耗技术的完整的知识板块

2020-07-10

spi Master core.doc

该文件描述了一个完整的SPI Master CORE的结构,给出了该IP的所用到的所有寄存器,以及工作时的寄存器复位值,寄存器的各个域的功能等等。。。。。。。。。。。。

2020-07-05

a practical guide to adopting the universal verification methodology中文版.pdf

中文版UVM验证方法学的详细讲解,包含UVM的各大工作机制,诸如factory机制、phase机制、config_db机制等等,此外还含有一些较为详细的源代码讲解,是验证方法学的必备参考书

2020-07-02

[chinese]cracking digital vlsi verification inter.pdf

中文版,超大规模集成电路的验证基础知识,包括常见的SystemVerilog知识,脚本perl知识,以及C/C++的基础知识。

2020-06-28

AMBA DMA Controller DMA330_r1p0_trm.pdf

该文件包含了DMA控制器的相关详细的设计信息。DMA(DirectMemoryAccess)控制器是一种在系统内部转移数据的独特外设,可以将其视为一种能够通过一组专用总线将内部和外部存储器与每个具有DMA能力的外设连接起来的控制器。

2020-05-14

eetop.cn_基于总线监听的Cache一致性协议分析.pdf

高速缓冲存储器 一种特殊的存储器子系统,其中复制了频繁使用的数据以利于快速访问。该文件对Cache的结构组成、工作模式、应用场合进行了系统 的解构,并对其做了详细分析,超有用,超详细。

2020-05-05

IC验证工作—资料整理v1.pdf

该文档基本涵盖了数字IC验证所需要要的所有知识,涵盖了UVM验证法法学、SystemVerilog基本语法以及数字电路的所有知识,此外还包括了一些常用的验证知识,如Perl、Makefile、shell、TCL等编程语言,实乃居家学习之极品。

2020-03-27

01_IEEE_1800-2012_SystemVerilog.pdf

本文件主要介绍SystemVerilog的语法知识,包含最基础的变量类型,接口、以及OOP的简单介绍,此外还包含了线程通信,随机化验证、功能覆盖率的知识以及少量的systemverilog assertion的应用介绍。

2020-03-11

Verilog的135个经典设计实例 (1).pdf

本文件给出了常用的Verilog经典设计实例,如最为典型的加法器,各种类型触发器,各种模数的不同计数器等等,此外还含有一些较为复杂的电路设计,对于初学Verilog的人而言具有很大帮助。

2020-03-11

SOC设计基础.docx

本文件包含了基础二进制的介绍,简单逻辑电路,如加法器的介绍,同时还包含有逻辑电路中的时序控制,以及常见的时序分析过程,介绍了建立时间与保持时间之间的关系,以及二者与其他时间延迟间的关系。

2020-03-11

学习Linux的一些笔记.docx

该文件介绍了Linux操作系统的常用操作命令,包括配置文件,别名机制;此外文件中还含有vim/gvim文本编辑器的常用指令,介绍了编辑器的三种常用模式,以及模式之间的相互转换以及正则表达式的应用;同时还包含了Makefile文本的编辑实例等等。

2020-03-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除