verilog实现分频(奇数分频和偶数分频,通用版)

大家好,最近写了一些分频器的设计,发现奇数分频和偶数分频是比较常用分频效果,所以写了一个比较简单的分频代码,适用于奇数分频和偶数分频(不考虑占空比),代码已经经过测试,需要可自取。

一、上代码

`timescale 1ns / 1ps

module CLK_DIV_ODDandEVEN
#(
parameter Multiple =  6 //Multiple表示的是倍频倍数。
)
(
input		sys_rst,
input		sys_clk,
output		clk_div ,
output	[15:0]	cnt
);


reg	[15:0]	cnt=16'b0;
always@(posedge sys_clk)
begin
	if(sys_rst) //复位信号,高有效
		begin
			cnt <= 16'b0;			
		end
	else
		if(cnt == (Multiple  -1))
			begin
				cnt <=16'b0;					
			end
		else
			begin
				cnt <= cnt + 1'b1 ;	
			end	
end

reg	clk_div=1'b0;
always@(posedge sys_clk)
begin
	if(sys_rst) //复位信号,高有效
		begin			
			clk_div <= 1'b0;
		end
	else
		if(cnt <= (Multiple /2 ))
			begin				
				clk_div <= 1'b0 ;				
			end
		else
			begin				
				clk_div <= 1'b1 ;				
			end	
end

endmodule

二、上验证代码

`timescale 1ns / 1ps



module CLK_DIV_ODDandEVEN_TB;

	// Inputs
	reg sys_rst;
	reg sys_clk;

	// Outputs
	wire clk_div;
	wire [15:0] cnt;

	// Instantiate the Unit Under Test (UUT)
	CLK_DIV_ODDandEVEN uut (
		.sys_rst(sys_rst), 
		.sys_clk(sys_clk), 
		.clk_div(clk_div), 
		.cnt(cnt)
	);

	initial begin
		// Initialize Inputs
		sys_rst = 1;
		sys_clk = 0;

		// Wait 100 ns for global reset to finish
		#100;
			sys_rst = 0;
			forever #500 sys_clk=~sys_clk;
        
		// Add stimulus here

	end
      
endmodule

三、上仿真结果

图1:6分频效果(multiple=6)
图2:9分频效果(multiple=9)

由图1和图2可知,通过改变代码中参量multiple可实现任意倍数分频效果。multiple表示分频倍数。 

下面对代码和仿真结果进行说明:

①sys_clk是系统时钟,本代码中作为被分频时钟。

②clk_div是分频后时钟。

③cnt是计数器,作为分频计数使用。

④sys_rst是复位信号,高有效。

感谢关注!

  • 1
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值