verilog设计一个分,秒定时器电路:输入时钟1KHZ进行分秒计数

本文介绍了如何使用Verilog设计一个接受1KHz时钟输入的分秒定时器。通过分频器产生使能信号,驱动60进制的分、秒计数器。详细阐述了设计思路、波形分析,并提供了代码实例和Quartus验证结果。
摘要由CSDN通过智能技术生成

verilog设计一个分,秒定时器电路:输入时钟1KHZ进行分秒计数

目标

实现分秒计数
在这里插入图片描述

问题分析

首先要求1KHZ的时钟,周期为1*10e-3s,达成1秒需要1000个周期,因为没有提到占空比就偷懒了,用一个flag信号来代替分频的过程。
其次,既然是要实现分秒计数功能,还需要两个计数器。因为两个计数器都是60进制计数,这两个计数器既可以分开写,也可以通过模块例化来实现。

波形分析

在这里插入图片描述
分频器用计数器计数到998时产生一个周期高电平flag信号en_1作为使能端控制分秒计数器运行,每次en_1为高电平,秒计数器就加1,直到加到59,清0向高位输出一个高电平。分计数器2类似。

代码部分

module clock
(
     input wire         sys_clk     ,
     input wire         sys_rst_n   ,
     
     output reg [5:0]   cnt_s       ,
     output reg [5:0]   cnt_m
    

);

reg [13:0]  cnt_10
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值