61850学习笔记-2024年1月29日19:24:15

1.模型及概念相关

1)LN:逻辑节点,有几种?具体指什么?

LN是指逻辑功能单元,和一次设备特定功能或控制系统某个具体功能对应,

常有:

1)共用LD,inst命名为LD0

2)测量LD,...............为MEAS

3)保护LD,................为PROT

4)控制及开入LD,........为CTRL

5)录波........................为RCD.

6)电压互感器MMXU,电力互感器MMTR,继电器控制GGIO

2.报告

1)报告控制块用于设定IED上送数据的内容及方式等,包括告警、事件、开入、模拟量等所有IED需上送的内容。61850中除总召由client发起外,其余全部为IED主动上送数据。

2)TrgOps:报告触发选项,数据集中的数据在何种条件下通过报告上送

dchg:数据变化上送

qchg:品质变化上送

dupd:数据更新上送,目前一般不用

period:周期上送

3)RptEnabled: max属性是IED可以支持的报告实例个数。IED初始化时为每个报告生成max个实例,分别以报告控制块名+实例号(01,02…)进行区分,如brcbAlarm01、 brabAlarm02。每个client在连接时,以不同的报告实例号占用一个报告实例。每个报告实例按照client指定的属性上送报告。              
原文链接:https://blog.csdn.net/vipdafei/article/details/129716582

3.MMS规范:

问题:
1.直采直跳(点对点方式):保护装置是如何和智能终端、合并单元通信的?直采直跳是否就是常规采样常规跳闸?是否就不需要智能终端和合并单元?(一次PTCT为电子式的,那一次开关不是);
  RE:通过点对点的方式,不经过交换机!以数据和控制信号是否经过交换机作为分界点。
  智能变电站SV传输分为“直采直跳”和“网采网跳”,“直采”即通过光纤尾缆缉贰光荷叱沽癸泰含骏或跳线由合并单元直接连接测控装置或其他辅助装置,“网采”即合并单元内SV数据由光纤尾缆先进入交换机,再通过VLAN划分,交换机级联(交换机间相连),从相应的光口出,供测控装置或其他辅助装置采用。通俗来说一个点对点不经过交换机,级联经过交换机。
间隔保护测控以及快速保护点对点方式直接采样、直接跳闸。跨间隔保护间通过GOOSE网交换失灵及闭锁等信息。

来自 <https://zhidao.baidu.com/question/758352704292623964.html> 

2.目前电子式PT/CT不用了,那是否还需要合并单元(MU)来采样?SMV网就不要了?
3.MMS网,GOOSE网,SMV网报文格式是否相同;
4.icd->SCD->CID, (当前的每个工具)
  装置模板组态工具->ICD-->系统组态工具->SCD-->装置实例化组态工具->CID-->IEC61850装置
  系统图组态工具-SSD-->系统组态工具。(SSD的作用是什么??)
5.数字化变电站是不是就是智能变电站?
6.在线式一体化五防怎么用?
7.跨间隔保护
8.校时同步:采样点插值同步;秒脉冲方式全站同步;IRIG-B码方式同步;IEEE1588采样同步(交换机是否具备1588,PRS7000后台是否支持?);(100ns)
9.SYN同步光纤,1588同步光纤,GOOSE光纤,数据光纤;
9.总线式方式:内部千兆数据总线,百兆管理总线; LVDS IO总线;LVDS校时总线;
10.BP-2C-D 保护主机,保护子机(可才常规量,同时也接入GOOSE+SMV),间隔合并器+智能操作箱。保护主机和子机是怎么配合的?
11.mms 报告的内容是什么?和普通的三遥有什么区别;
12.61850简易客户端;ethereal抓包工具;

笔记:
1.数字化变电站 (一次智能化电子设备(包括哪些?电子互感器,开关设备呢?),二次智能化电子设备IED)实现智能设备的互操作性和互换性;
2.一次设备智能化:电子式互感器 和智能断路器(智能一次设备);
  1)合并器;网络替代二次电缆;
3.时钟源同步(B码或秒脉冲);
时钟源同步IEEE 1588(需配置带1588功能的交换机,主钟,从钟设备;)
采样点插值 60044方式;
c采样点插值 9-2方式;

4.试验仪器与测试工具
• 数字式保护测试仪
• 便携式GOOSE测试仪
• 高速SMV数据发生器
• 高速串口数据发生器(FT3)
• GOOSE仿真与测试工具
• GOOSE录波分析仪

5.61850链接的建立(装置是服务端):tcp三次握手后, 后台请求建立TP0层的连接(相当于建立mms的连接),发CR(Protocol为COTP),装置回复TP0层的连接确认。
 1)61850 mms初始化,后台发相关MMS信息,表面后台支持的MMS服务类型;
  Identity(2);fileopen(72); fileRead(73);fileClose(74);informationReport(79);
    初始化确定mms一帧报文的最大长度(后台和装置约定二者最小者)
6.规约协议:TCP,MMS,COTP
 7.报告使能:
8.DO模式 和DA模式遥测;
 

  • 10
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
DDS(Direct Digital Synthesis)直接数字合成技术是一种数字信处理技术,用于产生高精度、高稳定度、高分辨率的周期性信。DDS技术的主要思路是:将一个固定的参考频率信和一个可变的相位调制信相乘,从而产生所需频率的输出信。 在MATLAB中,我们可以通过使用内置函数sin()来生成正弦波信。例如,我们可以生成一个频率为10 Hz,振幅为1的正弦波信,并将其绘制成图形: ``` t = 0:0.001:1; x = sin(2*pi*10*t); plot(t,x); ``` 在Verilog中,我们可以使用DDS模来生成正弦波信。以下是一个简单的DDS模: ``` module dds( input clk, //时钟信 input reset, //复位信 output reg [7:0] sin_out //正弦波输出信 ); reg [31:0] phase_acc; //相位累加器 reg [7:0] sin_lut [0:255]; //正弦波查找表 //初始化正弦波查找表 initial begin for (i = 0; i < 256; i = i + 1) begin sin_lut[i] = $signed(127*sin(2*3.14159*i/256)); end end always @(posedge clk) begin if (reset) begin phase_acc <= 0; sin_out <= 0; end else begin phase_acc <= phase_acc + 100; //相位累加器步进为100 sin_out <= sin_lut[phase_acc[31:24]]; //从查找表中读取正弦波值 end end endmodule ``` 在这个DDS模中,我们使用相位累加器来控制正弦波的频率,使用查找表来存储正弦波的值。在时钟上升沿时,相位累加器步进100,从查找表中读取正弦波值,并将其输出。 需要注意的是,在这个DDS模中,我们使用了固定的步进值100。如果我们想要生成不同频率的正弦波信,我们需要改变步进值。例如,如果我们想要生成频率为1 kHz的正弦波信,我们需要将步进值改为1000*256/时钟频率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值