SV学习笔记——结构体、枚举、字符串

一、结构体类型

        结构体是数据的集合,可以将有关联性的数据包装起来。

代码示例:

struct {name,age,grade} students;//创建一个students的结构体,里面包含名字,年龄和成绩信息

        在上一个例子中,students是一个变量,当需要另一个变量时,我们需要重新复制一遍并修改变量名,这样无疑会增加工作量,所以一般情况下会用typedef来创建一个新的类型,这样就可以减少重复性工作。

代码示例:

typedef struct {name,age,grade} students_s;//创建了一个新的类型students_s
students_s my_student;//用新的类型来声明变量my_student
my_student = '{tom,17,83};//对变量my_student赋初值,即名字是tom,年龄17岁,成绩是83分

二、枚举类型

        枚举类型可以保证变量的有效值,从而减少非期望值的出现,降低设计风险。

代码示例:

enum {red,green,blue} rgb;//创建了枚举变量rgb,表示此变量的有效值只有红,绿,蓝

        与结构体同理,对枚举类型的使用一般情况下也会使用typedef来创建一个新的类型,然后通过新的类型再去声明新的变量。

代码示例:

typedef enum {INIT,DECODE,IDLE} fsm_state;//创建新的枚举类型fsm_state
fsm_state state,nstate;//创建新的变量名state,nstate

三、字符串

        字符串可以提供一些语言类的信息,比如可以提示程序运行到哪一步,可以通过$display将其打印出来显示。

代码示例:

string s;

initial begin
    s = "IEEE ";
    $display(s.getc(0));//显示'I',即第一个字母
    $display(s.tolower());//显示ieee,即小写
    s.putc(s.len()-1,"-");//将空格变为'-'
    s = {s,"hello"};//拼接,将s和hello拼接起来
    $display(s.substr(2,5));//显示第2——第5的字符串,即EE-h
end 

仿真结果:

  73//'I'的ASCII码数值
ieee 
EE-h

  • 7
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值