数字电路实验四:智力抢答器预实验报告

实验四:智力抢答器预习报告

 

-------用D触发器74LS74设计制作一个四人智力竞赛抢答器电路

预习要求:

预习要点:

1、复习D触发器有关知识,写出其状态方程。双D触发器74LS74各引脚排列及引脚功能,使能端如何处理?如何测试触发器74LS74逻辑功能好坏?(结合D触发器一节和抢答器一节预习),画出74LS175逻辑符号和管脚功能。

2、参考图3.6.1 ,画出设计原理图(实验箱将提供一个连续的脉冲源)。说明设计原理:A.抢答装置如何鉴别第一信号?B.第一信号出现时如何屏蔽第二及以后的信号出现?C. 如何利用使能端整体清零控制?D.连续脉冲用多少HZ ? 能否用1HZ ?

   (为了简化接线,具体实验时用74HC175)

预实验报告:

1.复习D触发器有关知识,写出其状态方程。双D触发器74LS74各引脚排列及引脚功能,使能端如何处理?如何测试触发器74LS74逻辑功能好坏?(结合D触发器一节和抢答器一节预习),画出74LS175逻辑符号和管脚功能。

1)D触发器状态方程   Q*=D

2)74LS74引脚排列及引脚功能

74ls74中æèµææ±æ»ï¼74ls74å¼èå¾ååè½_åé¨ç»æååºç¨çµè·¯ï¼

1D,2D输入信号

1CP,2CP输入脉冲信号

1Rd‘,2Rd’,1Sd‘,2Sd’复位端

1Q',1Q,2Q',2Q输出信号

Rd‘=Sd’=1

使能端接高电平

3)测试触发器74LS74逻辑功能

 4)画出74LS175逻辑符号和管脚功能

2、参考图3.6.1 ,画出设计原理图(实验箱将提供一个连续的脉冲源)。说明设计原理:A.抢答装置如何鉴别第一信号?B.第一信号出现时如何屏蔽第二及以后的信号出现?C. 如何利用使能端整体清零控制?D.连续脉冲用多少HZ ? 能否用1HZ ?

 A.B:在抢答之前,k1,k2,k3,k4开关接地,Q1~Q4=0。主持人清除信号,按下开关S又打开。当主持人宣布开始抢答后,若K1第一个按开关,则D1=1使Q1=1,Q1'=0,使1号与非门输出为1,经2号与非变为0,使3号与门输出恒为1,cp=1时,输入信号被封锁,从而屏蔽第二及以后的信号出现。

C:Rd‘的开关打开,Rd’=1,芯片不受使能端控制;Rd‘的开关闭合,Rd’=0,使输出信号全部为0.

 D:1khz左右,不可以用1hz

  • 19
    点赞
  • 116
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
用面包板制作抢答器 智力竞赛抢答器 一、设计目的 1.掌握智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 三、智力竞赛抢答器电路原理及设计 1、设计方案 抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED数码管把选手的所剩抢答时间显示出来。而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。抢答时间设定9秒,报警响声持续1秒。接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 2、系统框图 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1. 图1系统框图 3、方案比较 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用D触发器和译码器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。 4、单元电路设计及元器件选择 (1)抢答电路 电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁定74LS175的功能真值表即优先抢答者的编号,同时译码显示电路显示选手编号;二是要使其他选手随后的按键操作无效。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值