芯作者
毕业于国内某985高校硕士,平时做了很多设计,在这里分享下技术,可以共同交流一起进步!
展开
-
使用VHDL语言实现简单的卷积神经网络
需要注意的是,这个示例只展示了如何在VHDL中实现一个简单的卷积操作,并没有涉及到更复杂的神经网络结构和训练过程。下面使用VHDL编写一个完整的卷积神经网络(CNN)是一项非常复杂且耗时的任务,需要详细的设计和实现过程。在这里,我将提供一个简化版本的示例,展示如何使用VHDL实现一个基本的卷积层。在这个示例中,我们假设输入图像是一个2D的灰度图像,卷积核是一个3x3的窗口,步幅为1,padding为0,激活函数为ReLU。我可以给出一个简化的示例,展示如何使用VHDL语言实现一个基本的卷积层。原创 2023-10-08 11:42:43 · 478 阅读 · 0 评论 -
基于 FPGA 的机器博弈五子棋游戏
五子棋的 AI 算法我们使用了贪婪算法,对棋盘上每一个未下子的位置进行评分,选择分值最大的位置作为落子的位置。对战、AI 对 AI(主要是用来训练 AI),通过玩家手中的蓝牙笔可以进行切换,选。体健康(见下图 1)。光标指向,按下确认键落子,然后五子棋 AI 程序进行它的落子,双方轮流进行。己执黑棋还是白棋(执黑棋的一方先行),玩家通过手中的蓝牙笔来控制屏幕上的。等),无法实现双人同屏在线游戏,丧失了游戏的一部分趣味性和体验性。我们在体感游戏的启发下,设计了可以远程遥控的蓝牙笔和对应的云端平台,原创 2023-10-06 16:15:21 · 579 阅读 · 0 评论 -
密码学A5算法VHDL设计及仿真验证
A5算法是一种用于GSM(Global System for Mobile Communications)移动通信标准的加密算法。它是一种对称密钥算法,使用一个64位密钥和一个22位帧号作为输入,生成一个228位的伪随机序列,该序列被用于加密语音和数据通信。A5算法由三个线性反馈移位寄存器(LFSR)组成,每个寄存器都有一个不同的多项式用于控制移位。这些寄存器的输出被异或在一起,形成伪随机序列。该序列的长度足够长,以使攻击者无法通过暴力破解来预测下一个序列。原创 2023-05-17 21:03:34 · 313 阅读 · 0 评论 -
vivado IP核RAM ROM使用及测试仿真
vivado IP核RAM ROM使用及测试仿真,完整工程代码,VHDL or verilog。原创 2023-05-16 19:48:57 · 561 阅读 · 0 评论 -
VHDL直流电机模糊控制器的设计与实现
由系统框图可知,系统设计可分为七个必要的基本模块:时序控制模块、按键控制输入转速模块、误差及误差变化率生成模块、模糊控制模块、占空比值(方波)调整模块、PWM驱动模块及回馈转速测速模块。bt,bt1:实际转速和输入转速位控制信号端。sg,sg1:实际转速和输入转速显示端。pwm(sbq):PWM信号输出端。k1,k2:转速给定值输入端。cdata:反馈转速值输出端。dp:PWM占空比验证输出端。clk:系统基频信号输入端。ec:转速误差变化率输出端。sx:时序控制信号输出端。e :转速误差数据输出端。原创 2023-05-15 21:28:37 · 638 阅读 · 0 评论 -
使用VHDL实现洗衣机控制器设计
【代码】使用VHDL实现洗衣机控制器设计。原创 2023-04-17 19:53:49 · 434 阅读 · 0 评论 -
AUDIO PROCESSING ON DE1 VHDL设计+报告
本课程模块的目的是培养FPGA相关的技能和知识,以适应当前世界半导体相关产业的发展趋势,满足当前热门电子和通信行业的需求,并与个人项目紧密结合。主要知识和技能包括了解可重构硬件平台(FPGA)。FPGA结构,基于VHDL的数字设计,以及FPGA平台的工业标准设计工具。熟悉并提高基于FPGA的设计流程:12345。此外,你将学习和研究I2c协议和fir的数字实现。原创 2023-03-28 19:47:13 · 180 阅读 · 0 评论 -
FPGA打砖块游戏设计(有上板照片)VHDL
这是一款经典打砖块游戏,我们的努力让它更精致更好玩,我们将它取名为打砖块游戏(Flyball),以下是该系统的一些基本功能: 画面简约而经典,色彩绚丽而活泼,动画流畅 玩家顺序挑战3个不同难度的级别,趣味十足 计分功能,卡通字母数字 4条生命值,由生命条显示 游戏结束画面,缓缓浮起与缤纷刷色的特效 四键操作,可复位,可暂停,高灵敏 96块砖拼出可爱的“囧”字,方便更改 碰挡板非镜面反射 初始启动,随机的发射速度。原创 2023-03-23 20:06:59 · 1245 阅读 · 0 评论 -
DE1开发板实现VHDL俄罗斯方块游戏(有上板照片)
第一个模块,分频器,调用工具的IP核来配置产生分频时钟,参考网上的IP核调用教程,打开MegaWizard plug manage,设置输出的频率参数和分频个数。第四个模块,用户控制俄罗斯方块的转向,通过按键输入控制俄罗斯方块的不同方向,在不停的进行判断,判断当前的游戏状态是否结束等。第八个模块,堆叠模块,将用户决定俄罗斯方块的顺序,将这个俄罗斯方块堆叠下来,并实时进行判断游戏是否结束等。第二个模块,按键输入模块,判断4个按键的按下,模块中判断的是按键按下后对应的时钟的上升沿这一时刻。原创 2023-03-13 18:28:04 · 470 阅读 · 0 评论 -
Network-UART VHDL设计及仿真实现
UART包括baud波特率模块、UART的transfer and receive模块等。本工程包括一个测试系统,UART.原创 2022-12-12 17:08:48 · 527 阅读 · 1 评论 -
基于FPGA与DS18B20温度传感器的通信实现
本设计使用VHDL语言实现温度传感器的驱动,并通过数码管显示。下面有完整代码及注释介绍原创 2022-10-05 16:32:42 · 1034 阅读 · 0 评论 -
基于FPGA的序列检测器
序列检测器的原理是通过状态机实现对某一个特定序列进行检测,以达到序列检测的目的,序列的长度可以自定义,序列长度决定状态机的长度。本设计包括,序列产生模块、序列检测模块、数码管显示模块。使用VHDL实现序列检测器,带仿真。原创 2022-10-05 16:23:49 · 1122 阅读 · 0 评论 -
基于FPGA的误码检测
基本原理主要分为以下几个部分:(1)锁相环(2)M序列生成模块(3)数据接口模块(4)模拟信道模块(5)本地M序列生成模块(6)同步模块(7)误码统计模块锁相环主要产生系统时钟信号和输出同步时钟信号。采用Altera自带的IP模块M序列生成模块伪随机信号发生器又叫PN序列发生器或者是m序列发生器。m序列是一种线性反馈寄存器序列,m序列的产生可以利用r级寄存器产生长度为2^r-1的m序列,该实验中采用3级寄存器产生7序列发生器。原创 2022-10-01 16:28:19 · 1552 阅读 · 1 评论 -
基于FPGA的数字秒表设计
本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。计时模块执行计时功能,计时方法和计算机一样是对标准时钟脉冲计数[9]。本系统设计采用自顶向下的设计方案,系统的整体组装设计原理图如图1所示,它主要由控制模块、时基分频模块,计时模块和显示模块四部分组成。2数字秒表设计的目的。原创 2022-10-01 12:24:39 · 1872 阅读 · 0 评论 -
基于FPGA的实现一款简易电子密码锁
1、设计一个密码锁的控制电路,当输入正确密码时,输出开锁信号以推动执行机构工作(用FPGA实现直流电机控制),用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;2、在锁的控制电路中储存一个可以修改的 4 位密码,当开锁按钮开关(可设置成 6 位至8位,其中实际有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;elsif(clk_scan’event and clk_scan = ‘1’)then–上升沿触发。elsif(clk’event and clk = ‘1’)then–上升沿触发。原创 2022-09-27 21:30:25 · 2365 阅读 · 0 评论 -
基于FPGA的任意四位除法器VHDL
第二个步骤是进行运算,根据传统除法器的运算方法进行运算,即被除数=商数·除数+余数,判断被除数是否大于除数,如果大于或等于除数,将被除数减去除数,再将差赋给被除数,中间结果加一。根据公式:被除数=商数·除数+余数,将被除数减去除数,每减一次,商的中间结果加一,直至被除数等于或者小于除数,运算完成,此时,被除数被减剩的结果就是余数。根据公式:被除数=商数·除数+余数,将被除数减去除数,每减一次,商的中间结果加一,直至被除数等于或者小于除数,运算完成,此时,被除数被减剩的结果就是余数。原创 2022-09-27 21:24:28 · 1038 阅读 · 0 评论 -
基于FPGA的交通信号灯设计
此外,复位信号利用高低电平来控制,当电平为高时,状态机保持东、西、南、北四个方向红灯均亮的状态,若为低则由初始状态进入状态循环。仔细分析设计要求可知,东西方向交通灯状态变化相同,南北方向亦相同,故实际上只需控制两组交通灯,所以状态机有六个输出变量,分别控制东西、南北方向的红、绿、黄灯的亮灭状态。(7) 如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四个方向红灯亮。2.模拟两条公路,一条交通主干道,一条交通支干道,在主干道和支干道的交叉路口上设置红,绿,蓝灯进行交通管理。原创 2022-09-13 21:54:29 · 2089 阅读 · 0 评论 -
基于FPGA的会议发言限时器
暂停与继续功能,采用一个按键完成,当按下该按键时计时暂停,再按下时继续计时,以此循环。计时范围为0~99分,采用4位数码管显示,即显示00分00秒……该蜂鸣器报警模块根据计时的结果进行判断,当计时到89分00秒时,蜂鸣短音提示响起,当计时到99分00秒时蜂鸣器长音提示响起。LED显示模块要根据开始按键信号、暂停与继续按键信号、计时结束信号进行判断,当开始按键信号有效时,led亮;功能设计:根据基本要求,将该设计方案分为四个模块:计时与显示模块、暂停与继续按键功能模块、蜂鸣器报警模块、LED显示模块。原创 2022-09-06 21:21:50 · 675 阅读 · 0 评论 -
基于FPGA的飞机的小游戏
打飞机的小游戏,在用vhdl语言开发。通过VGA接口显示,能够显示分数,gameover等字样,己方飞机可控制左右移动,敌方飞机自动移动,碰边界会变方向变速。得分越高,速度越快,难度越大。原创 2022-09-06 21:11:59 · 1883 阅读 · 0 评论 -
基于FPGA的电压表与串口通信VHDL
当/CS变为低电平后, TLC549芯片被选中,同时前次转换结果的最高有效位MSB (A7)自 DATA OUT 端输出,接着要求自 I/O CLOCK端输入8个外部时钟信号,前7个 I/O CLOCK信号的作用,是配合 TLC549 输出前次转换结果的 A6-A0 位,并为本次转换做准备:在第4个 I/O CLOCK 信号由高至低的跳变之后,片内采样/保持电路对输入模拟量采样开始,第8个 I/O CLOCK 信号的下降沿使片内采样/保持电路进入保持状态并启动 A/D开始转换。启动本次 A/D转换开始。..原创 2022-08-30 22:40:55 · 409 阅读 · 0 评论 -
基于FPGA的spwm产生VHDL
spwm产生 使用VHDL语言实现实验过程:硬件操作操作上电接入5V电源,用配套的线,USB那端接电脑即可;电源开关按下电源开关,程序已经固化到FPGA上了,上电即可运行。原创 2022-08-30 22:31:22 · 437 阅读 · 0 评论 -
基于FPGA的LCD1602的流动显示VHDL
在这个基础上,如果要想实现LCD上的字符滚动显示,我最初的想法就是,通过改变数据的DDRAM地址来实现,即完整的一个字符串写完后,清屏一下,然后将原来的DDRAM地址+1,再写一次,这样就相当于将整个字符串向右移动一位了,如果整个字符串移动到LCD最右端,让DDRAM地址回到最初的位置,如此反复。LCD_RS是LCD的片选寄存器控制信号,在进行写指令操作的时候,应该将其置0,而在进行读写数据的时候,应该置1。F =0时,5×7点阵。(10) 传送显示打开命令,0X0c,打开显示屏,关闭光标显示。...原创 2022-08-30 22:20:24 · 812 阅读 · 0 评论 -
基于VHDL的I2C总线设计实现(详细介绍、流程图、工程文件等)
IIC即I2C,一种总线结构。IIC 即Inter-Integrated Circuit,这种总线类型是由菲利浦半导体公司在八十年代初设计出来的,主要是用来连接整体电路(ICS) ,IIC是一种多向控制总线,也就是说多个芯片可以连接到同一总线结构下,同时每个芯片都可以作为实施数据传输的控制源。这种方式简化了信号传输总线。例如:内存中的SPD信息,通过IIC,与BX芯片组联系,IIC 存在于英特尔PIIX4结构体系中。I2C串行总线一般有两根信号线,一根是双向的数据线SDA,另一根是时钟线SCL。...原创 2022-08-28 09:11:24 · 969 阅读 · 0 评论 -
VHDL实现多功能时钟设计
该多功能时钟系统包括:可以控制模式,计时器或者时钟,通过按键控制,数码管实时显示时分秒,led显示计时状态。时-分-秒显示,为24小时制通过按键可以动态调整时间通过按键可以动态选择要调整的时间,对应的数码管闪烁按键消抖、看门狗电路等系统包括下列模块:分频模块,计数模块,按键模块,看门狗模块,数码管模块,计时器模块,选择模块等。使用VHDL语言实现在quartus下实现,也可在vivado下:下面为quartus工程截图:下面为顶层模块代码:............原创 2022-07-07 18:57:30 · 2752 阅读 · 0 评论 -
VHDL实现单周期CPU设计
VHDL实现单周期CPU设计在quartus下实现,顶层是原理图模式,语言使用VHDL,实现单周期CPU的功能,包括ALU,RAM,ROM,MUX,regfile等模块,下面有工程截图及仿真截图。仿真截图:ALU模块代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ALU_lrt isport(a,b:in std_l原创 2022-07-06 20:05:32 · 911 阅读 · 0 评论 -
VHDL实现任意大小矩阵乘法运算
VHDL实现任意大小矩阵乘法运算可以通过参数修改矩阵的大小;使用VHDL语言实现;在vivado上进行综合和仿真。代码如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.NUMERIC_STD.ALL;use work.DigEng.ALL;entity Top_level is------------set generic value for N,M,H,data_size--------------------– Default原创 2022-07-05 20:24:21 · 588 阅读 · 0 评论 -
VHDL实现任意大小矩阵加法运算
本设计是VHDL实现任意大小矩阵加法运算通过加法实现两个矩阵相加,得到的结果存储在Buffer中使用VHDL语言在vivado上进行综合和仿真设计代码如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.NUMERIC_STD.ALL;– Uncomment the following library declaration if using– arithmetic functions with Signed or Unsigned value原创 2022-07-05 20:14:15 · 514 阅读 · 0 评论 -
VHDL电话计费系统设计
电话计费系统,实现的功能:用按键模拟接通或挂断,在1602上显示通话时间以及消费金额,消费以一分钟为准 每通话时长达到1分钟就扣费。当余额不足是会提醒。该设计使用VHDL语言。使用quartus下创建工程:系统显示设计代码:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY lcd14432 ISPORT (nreset : IN STD_LOGIC;clk_in : IN原创 2022-06-22 20:14:47 · 277 阅读 · 0 评论 -
基于Nexys3的频谱仪设计VHDL可上板
本设计实现:基于Nexys3的频谱分析仪,应用ISE的FFT核与双RAM,VGA显示,AD为ADS830软件平台:ISE14.7硬件平台:Nexys3开发语言:VHDL工程截图:AD,FFT,cordic代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_signed.all;use ieee.std_logic_arith.all;– Uncomment the following library decl原创 2022-05-21 18:01:21 · 231 阅读 · 0 评论 -
人脸检测FPGA实现使用VHDL语言
该项目在quartus下使用VHDL进行开发。本项目的重点是创建一个并行的硬件人脸检测实现,使用原始的Viola-Jones(VJ)人脸检测算法在现场可编程门阵列(FPGA)上创建一个并行的硬件人脸检测实现。为原始VJ算法的软件实现创建单线程和多线程的版本,以便进行性能比较。硬件系统必须在FPGA上完全实现,捕获帧大小为320x240的实时视频。大小为320x240的实时视频,确定是否有人脸存在,然后输出一个带有方框的视频流 表示检测到的人脸的位置。软件实现分析的静态图像有 单一人脸、6个人脸和53个原创 2022-05-03 17:42:49 · 1057 阅读 · 0 评论 -
CORDIC算法VHDL设计实现及仿真
CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。CORDIC VHDL语言实现,顶层代码如下:–the top moduleLIBRARY IEEE;use ieee.std_logic_1164.all;use ieee.s原创 2022-03-29 21:52:53 · 788 阅读 · 0 评论 -
VHDL-std_logic_vector转换为integer注意
使用VHDL语言std_logic_vector转换为integer后,使用constant保存结果,不要用signal,会影响位宽。原创 2022-01-20 20:28:26 · 1694 阅读 · 0 评论 -
AD4003 VHDL设计及仿真
AD4003顶层模块设计:entity AD is port(ClK:in std_logic;SDO:in std_logic;SDI:in std_logic;CNV:out std_logic;SCK:out std_logic;data_show1:out std_logic_vector(6 downto 0);data_show2:out std_logic_vector(6 downto 0);data_show3:out std_logic_vector(6 downto原创 2021-12-12 12:46:26 · 408 阅读 · 0 评论 -
Digital System Design_VHDL设计
本设计具体内容如下:You are required to submit a typed report. The report assessment will be based on the followingcriteria: Organization Presentation, analysis and discussion of the results Conciseness and clarity Completeness Proper use of reference原创 2021-11-15 19:48:12 · 437 阅读 · 0 评论 -
VHDL实现简易停车场系统设计
本设计使用VHDL实现停车场收费功能具体实现的功能如下图所示:使用VHDL进行设计实现每一个功能均已实现,部分代码及仿真截图如下:原创 2021-10-11 20:36:27 · 889 阅读 · 2 评论 -
Digital Design 2020-21 VHDL Coursework21
Digital Design 2020-21VHDLCoursework1 ObjectivesThe objective of this assignment is to use VHDL to design and implement the processing required forthe control logic of a pedometer. The correctness of the design should be illustrated throughsimulation原创 2021-09-28 07:26:55 · 142 阅读 · 0 评论 -
VHDL数控分频器及其应用
主要内容:采用VHDL语言设计数控分频器电路,利用数控分频的原理,设计乐曲演奏电路,并采用原理图方法设计数字时钟,使该电路具有校时校分的功能,用MAX一PLUSII编程工具进行逻辑综合和时序仿真。设计的基本内容传统数字电路设计是利用标准集成电路、电路板来实现电路功能。可编程逻辑器件和EDA技术使设计方法发生了质的变化。把以前 “电路设计+硬件搭试+调试焊接”转化为 “功能设计+软件模拟+仿真下载”。利用EDA开发平台,采用可编程逻辑器件CPLD/FPGA使硬件的功能可通过编程来实现,这种新的基于芯片的设原创 2021-05-29 19:19:12 · 1611 阅读 · 1 评论 -
VHDL四选一数据选择器和基本触发器的设计
主要内容:本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现。四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出。基本触发器应该具备的功能:具有两个能自行保持的稳定状态,用来表示逻辑“1”和“0”。在不同的输入信号作用下其输出可以置成1态和0态,且当输入信号消失后,触发器获得的新状态能保持下来。摘 要 系统基于数据选择器及D触发器,JK触发器,T触发器的原理,使用EDA技术在FPGA中设计了四选一数据选择器和基本触原创 2021-05-29 19:15:59 · 3132 阅读 · 1 评论 -
VHDL交通灯控制器的设计
主要内容:设计主干道的交叉路口交通信号灯无人自动管理的控制系统.将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机。1、设计的目的本次课程设计的目的是通过设计交通灯控制器,了解EDA技术,了解并掌握VHDL硬件描述语言的设计方法和思想,巩固和综合运用所学过的计算机组成原理知识,提高分析、解决计算机技术实际问题的独立工作能力。2 、设计的基本内容这次课程设计的题目是交通灯控制器的设计。设计了主干道的交叉路口交通信号灯无人自动管理的控制系统.将路口红绿灯的各种亮灯情况定义原创 2021-05-28 11:19:47 · 2841 阅读 · 0 评论 -
VHDL电子密码锁设计
主要内容:采用先进的EDA技术,利用MAX+PLUSⅡ工作平台和VHDL语言,设计一种新型的电子密码锁。该密码锁具有密码预置和误码报警等功能,用一片FPGA芯片实现。摘要 本文介绍一种利用EDA技术和VHDL语言,在MAX+PLUSⅡ环境下,设计了一种新型的电子密码锁。它体积小、功耗低、价格便宜、安全可靠,维护和升级十分方便,具有较好的应用前景。随着社会物质财富的日益增长,安全防盗已成为全社会问题。人们对锁的要求越来越高,既要安全可靠地防盗,又要使用方便。弹子锁由于结构上的局限已难以满足当前社会管理和原创 2021-05-28 11:17:40 · 2310 阅读 · 1 评论