2021-05-22

Verilog代码描述存储元件之非阻塞赋值(两个级联触发器)

1、非阻塞赋值
Verilog也提供非阻塞赋值方式,用符号“<=”表示。always块中所有非阻塞赋值语句在求值时所用的值全都是进人always时各个变量已具有的值。因此,某给定变量在共的所有语句中的值是相同的。非阻塞的意思是每条赋值语句的结果直到always块的结尾才能确定。
2、电路图
在这里插入图片描述
3、实验代码
module example5_4(D,Clock,Q1,Q2);
input D,Clock;
output reg Q1,Q2;
always@(posedge Clock)
begin
Q1<=D;
Q2<=Q1;
end
endmodule
4、实验过程
(1)创建一个新工程,将实验代码输入在这里插入图片描述

(2)选择sittings中的simulation,并将我们刚才做的文件放入里面在这里插入图片描述

(3)跳转到modesim,并对波形进行调整在这里插入图片描述
在这里插入图片描述

(4)实验结果图在这里插入图片描述

5、实验视频

Verilog语言非阻塞赋值

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值