2021-05-28

本文介绍了如何使用Modelsim进行FPGA仿真实验,包括创建工程、添加代码、检查错误、配置Wave视图及设置参数。示例代码展示了FullAdder模块的设计与测试 bench,用于验证加法器功能。通过逐步指导,读者可以掌握Modelsim的基本仿真流程。
摘要由CSDN通过智能技术生成

Modelsim工程仿真流程

一、实验代码
module fulladd(sum,c_out,a,b,c_in);
output sum,c_out;
input a,b,c_in;
wire s1,c1,c2;
xor (s1,a,b);
and(c1,a,b);
xor(sum,s1,c_in);
and(c1,s1,c_in);
or(c_out,c2,c1);
endmodule

module test;
wire sum,c_out;
reg a,b,c_in;
fulladd fadd(sum,c_out,a,b,c_in);
/*
initial
begin
#15 force fadd.sum=a&b&c_in;
#20 release fadd.sum;
end
*/
initial
begin
a=0;b=0;c_in=0;
#10 a=0;b=0;c_in=1;
#10 a=0;b=1;c_in=0;
#10 a=0;b=1;c_in=1;
#10 a=1;b=0;c_in=0;
#10 a=1;b=1;c_in=1;
#10 a=1;b=1;c_in=0;
#10 a=1;b=1;c_in=1;
#10 $stop;
end
endmodule

二、实验过程
1、打开modelsim,新建一个工程命名为tuotuo,并向工程中添加文件在这里插入图片描述在这里插入图片描述

2、将代码复制粘贴到文件中去
3、检查实验代码是否错误,并将文件放到work中去在这里插入图片描述
4、选择work中的fulladd,将其选择添加到wave
在这里插入图片描述
5、调节参数
在这里插入图片描述
三、实验视频

modelsim仿真

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值