FPGA必备软件保姆级教程:Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装教程

重要提示!此教程只用于学习用途!


目录

安装包提供

Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装步骤

2023年9月12日补档,Quartus与ModelSim的关联。


 


安装包提供

教程使用的安装包,均为网络开源资源,侵权立删。百度网盘链接:百度网盘 请输入提取码提取码:hq4n


Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装步骤

1.网盘下载这两个压缩包,下载路径别放在C盘,避免容量不足报错

5bcbbcd5d9ec4b2caaed8169febe9409.png

2.打开windows安全中心的病毒和危险防护设置

4b4ed307b71e4c949d69a0ad1877ca8b.png

3.管理设置

3feffcdddb2a46e79e56d04f35081dd0.png

4.关闭这两项

5ebc83ef50294448b4ab7451846e2808.png

5.解压第一步的两个压缩包,并将解压后的内容放在同一个文件夹内,文件夹路径不能有中文和非法字符.

37e6307277a5481a9120b058675e21d4.png

6.右键管理员运行QuartusSetup-15.0.0.145-windows

99c8a50447e64a2fa20292206a30ffd3.png

7.点击next

fd610257324b4514b3fa1c13e4fc6e93.png

8.选择同意,next

c96c2fa0747246078b5e90ca1f7efafe.png

9.选择安装路径,路径不能有中文和非法字符,不要选C盘,记住此路径,next

359a2e6bd9ac4be1b1d15622eb95cb64.png

10.进入该界面,检查选项是否勾选上了Cyclone V(第五步完成的前提,即Cyclone V的芯片包与Quartus安装包同意路径,此界面才会出现Cyclone V的选项),若没勾选就自己勾选上,next

6deb20ab1ae04aa99335ddefecc9a31c.png

11.next

738a02b32a37492ea3525a088ea545aa.png

12.等待,安装进度条完成后,next

09e30ece0d5d44aea8a034f09aa04cbf.png

13.安装完成后的界面如下图所示,勾选上这三个然后finish。

178f86a50ba949d6b1523cebac5b12f2.png

14.弹出此驱动安装界面,点击下一页

3056fa9438bb4d589cc3d0d327066bc9.png

15.弹出这个,选择安装

0515c4af905e4ffe8bcecc377710540e.png

16.状态为可以使用,然后点击完成。

687823692d964d87a513c9958eda2425.png

17.弹出这两个弹窗,直接叉掉

203db2512fc140b482db0e77b5a46d65.png

e8f5357eae174f22beb32a0267ea6825.png

18.打开文件夹:Quartus_II_15.0XX

db2cfd7a42224effb27ef6e2052805a7.png

19.右键管理员运行:Quartus_II_15.0XXX

b4109d4aeb3c4ed1930768a97168ac13.png

20.在软件中,点击查找

a98ba55368224cf8a097bf27f64a4a80.png

21.在第9步的路径中找到quartus文件夹,双击进入文件夹

75282b55bb704be8991b59229927d2d8.png

22.双击进入bin64文件夹

87084ab87459411a9244766f1a980bda.png

23.找到文件,选择然后打开

ec667740950a492f8fb31034efd65c8a.png

24.下一步,弹窗点击确定

34d407ce159840a0821996d1187e51f2.png aad7a550c8f447e6a974cddca7564024.png

25.点击完成,成功补丁点击确定

13672d076a26494daabfb6456fbdbcde.png

5f291df4a26443a58ca55c57c39b3c28.png

26. 双击打开桌面Quartus II 15.0 (64-bit)应用,弹窗选择If you have license…,然后OK

fa822c40c32243f082e1d9d073134ada.png

27.弹出此界面

e66efb655c5042988073f4934cf82c45.png

28.然后回到第19步文件夹内,双击打开lincense,用记事本打开

1ca2af321d134ec88b5e730b386c686e.png

59bb88f1c07641bfb0f9b343259e43d2.png

29.在此界面找到HOSTID= "XXXXXXX "

d570586c59ce4853b4ad92cf2750e990.png

30.复制此界面的ID的第一个逗号前的ID号

3dd9d93e83504e9fa579c40d317bfe9e.png

31.将第29步HOSTID= "XXXXXXX "双引号内的内容更改为第30步复制的ID,更改完毕后保存

f1ec9004127348f0b59385b070ffea2e.png

32. 然后另存为到第9步的路径中找到quartus文件夹中的licenses文件夹内

7f574fa8804c492a9ebca20c9ec86e16.png

33.回到此界面点击这三个小点

955bf6a618c44b2e923f7fa8d7bce1e2.png

34.找回第32步另存为的文件,选择并打开

0739e2baf784491299489fe3677c00b5.png

35.然后点击OK

eb519f83cfec41c1b6c1461e13602740.png

36.弹出软件界面即为Quartus安装完成

47132b61ece94d1da5ce9004e7be47d7.png

37.接下来安装Modelsim 回到解压文件的文件夹中,右键管理员运行ModelSimSetup-16.1.0.196-windows

f7da0529306649098529be07e65b685c.png

38.进入安装程序界面,next

f67da28f22a84fe396acf637eb032dfd.png

39.选择第一个,next

f89971a7fd7f4d5ab8312457aa0f7088.png

40.同意,next

b3d17c0e962c4de0a42106344f706e64.png

41.选择路径,与第9步的路径一致!next

86798ce083c44c15af1f1489ef71a98c.png

42.next

2d3c85bf859f46d7b85e1ffd1e0c21b7.png

43.等待安装进度

c141a104651241fc9e5328f66cbbe735.png

44.完成后,不要勾选,finish

4f6d8907a5b44c94a881fc384e23b08d.png

45. Quartus II 15.0(Cyclone V器件库)与ModelSim 16.1全部安装完成。

 

2023年9月12日补档,Quartus与ModelSim的关联。

1.打开Quartus,左上角工具栏选择:Tools->Options...

0f6e7bde46d042fc99e5b1867700647f.png

2.General->EDA Tool Options->ModelSim-Altera(最下面那行),点开右侧三个小点

aefb86bd0052400baa8c8f28369d8abe.png

3.找到Quartus的安装路径,双击打开modelsim_ase文件夹

9df50e4895ca484aad2b0a0c29cc4b69.png

4.下滑找到win32aloem该文件并选中,然后单击选择文件夹

bc6a820314aa4591a8d7fc41b25b8257.png

5.第2步界面ModelSim-Altera(最下面那行)出现第4步选择的路径后,点击OK

5d0a050c4c26434a9ae3348553b33827.png

6.新建工程,File->New Project Wizard...

2792c02a2c3240d1909b679004879d77.png

7.next后进入到创建工程路径和工程名,选择一个工程存放路径并为工程命名(不能有中文和非法字符),完成后next

d10feaca367d48e79956573284d8c088.png

8.Project Type->Empty project->next

a8970e6422314d04a0827b65508fbd7f.png

9.Add Files 先跳过,直接next。进入到Family&Device Settings界面,博主选择的是5CEBA4F23C7,可以自己的实际使用情况选择不同的芯片支持包,选择之后next。

可以不选直接next

acc7fa83541048eaaedb8f69e7070918.png

10.进入到EDA Tool Settings界面,在Simulation这一行,Tool Name 选择ModelSim-Altera,Format选择Verilog HDL(看实际使用情况选择),选择完毕后next

c6be754bca7d4fdca6add05377640232.png

11.最后到了Summary,可以查看新建工程的详细内容,无误就点击Finish,完成新建工程

922c69544b994fe6b46d6d12f5551fa2.png

12.然后左上角点击白纸New

94f68c40f7e7426c996676ce279a7bbe.png

13.选择Design Files->Verilog HDL File->OK

5508c4c86d2f4b7193b4d23a9b8b2360.png

14.输入一个测试内容

module exp01(a,b,c);
input [1:0] a,b;
output [2:0] c;

assign c = a + b;

endmodule 

15.点击保存Save(白纸New的右侧),或者快捷键Ctrl+S进行保存

8c55fddb004d49f89b4eca51b4ebf54f.png

16.弹出此窗口,直接点击保存

7c2a03b5fa034827933607c7ee38c01c.png

17.左上角Assignments->Settings...

b749f33058ba4cd1b285f4a66e6d9696.png

18.点击EDA Tool Settings->Simulation,右侧窗口中,Tool name选择ModelSim-Altera,中间EDA Netlist Writer settings->Format for output netlist选择Verilog HDL,下方NativeLink settings选择Compile test bench

ad0d4cb2c7164addb7c30935c27007fb.png

19.点击Compile test bench这一行最右侧的Test Benches...,点击New...

1ffb979a918447eba882c818438820ba.png

20.弹出此窗口,点击File name 这一行右侧的三个点

06e17efe316b4fd4bb910a0e285a535b.png

21.直接选择exp01_tb.v这个文件,Open

5a98f1675e634d30b9191528638fe66c.png

22.然后将exp01_tb填写在Test bench name 这一行,Top level...这一行会自动填充,然后File name 这一行最右侧的Add,点击Add

a76b2dd9a29545dfbb9bd49b8d508ffb.png

23.下方表格中出现exp01_tb.v后,然后一直点击OK

3be91508e3a7403f9078bb6231ada6d8.png

24.左上角工具栏中,Processing->Start,点击Start Analysis & Synthesis。

fb45e984208d423fbfce2fd76257c244.png

25.等待右下角进度加载完成,且下方信息栏中出现0 errors,0 warnings

9edd7286c1e54598bb243c2cdaf4536a.png

26.左上角工具栏中,Tools->Run Simulation Tool,点击RTL Simulation

05480fd2064147098d29f8ea1a1a21b9.png

27.弹出ModelSim界面,则Quartus与ModelSim关联成功。

ec1475cd0bbb4086902f490a6e47233e.png

 

 

感谢观看。

 

 

 

 

  • 28
    点赞
  • 83
    收藏
    觉得还不错? 一键收藏
  • 13
    评论
### 回答1: 1. 下载Quartus II 15.0软件。 2. 双击下载的安装文件并同意软件许可协议。 3. 选择安装路径并点击安装。 4. 等待安装进程完成。 5. 安装完成后,打开Quartus II 15.0软件并输入激活码激活软件。 6. 完成安装,可以开始使用Quartus II 15.0进行FPGA设计了。 ### 回答2: Quartus II是苏州因芯科技有限公司的一款FPGA开发工具,用于设计和实现数字电路系统。安装Quartus II 15.0是进行FPGA开发的第一步,下面是安装教程。 步骤1: 下载Quartus II 15.0并解压缩。 步骤2: 打开安装向导。选择 “next” 开始安装。 步骤3: 阅读许可协议,选择“我接受”后,选择“next”. 步骤4: 确认安装路径,选择“next”. 步骤5: 选择希望安装的组件,可以选择Quartus IIModelSim等其他组件,选择“next”. 步骤6: 选择需要添加的文件关联,选择“next”. 步骤7: 确认安装过程中所需要的空间,选择“next”. 步骤8: 确认其他设置,选择“next”. 步骤9: 确认要安装的组件,选择“install”。 步骤10: 等待安装完成。 步骤11: 在结束界面上选择“finish”。 至此,安装完成。 总之,Quartus II作为一款重要的FPGA开发工具,在实际应用中起到了不可或缺的作用。通过上面的安装教程,用户可以轻松地安装和掌握Quartus II。如果您是初学者,建议您先从基本使用入手,逐渐掌握更加复杂和高FPGA开发技术。 ### 回答3: Quartus II是一款由Intel公司开发的集成电路设计软件。随着集成电路技术的不断发展和普及,Quartus II也成为了业界广泛使用的一款EDA(Electronic Design Automation)工具。本篇文章将为大家介绍Quartus II 15.0版本的安装教程。 1、下载并安装Quartus II 15.0 第一步是到官网下载Quartus II 15.0。下载链接:https://www.intel.com/content/www/us/en/programmable/downloads/download-center.html 在下载的页面选择“Quartus Prime Lite Edition”版本,选择您的操作系统及相应的位数(32位或64位)。下载完成后双击安装包开始安装。 2、选择安装路径和组件 在安装过程中,您需要选择Quartus II安装路径和安装组件。建议在没有特殊需求的情况下,选择默认的所有组件进行安装。 3、安装ModelSim-Altera Starter Edition 如果您需要使用ModelSim-Altera Starter Edition来仿真和调试设计,并且在安装过程中没有选择安装ModelSim-Altera Starter Edition组件,可以在安装后从安装目录中的ModelSim-Altera Starter Edition文件夹中启动安装。 4、设置环境变量 为了使Quartus II在命令行中正常运行,需要将Quartus II安装路径添加到环境变量中。在Windows系统中,可以通过以下步骤进行设置: (1)在开始菜单中搜索“环境变量”,并点击“编辑系统环境变量”。 (2)点击“环境变量”,在“系统变量”下找到“Path”变量,点击“编辑”。 (3)在编辑“Path”变量的界面中,点击“新建”,输入Quartus II安装路径(例如C:\altera\15.0\quartus\bin)。 (4)点击“确定”并保存设置。 5、安装JTAG驱动 如果您需要使用Quartus II来连接USB-Blaster进行FPGA的调试和下载,需要安装JTAG驱动。在Quartus II安装目录中,找到quartus/drivers/jtagdriver中的驱动程序,根据您的操作系统选择对应的驱动程序进行安装。 以上是Quartus II 15.0版本的安装教程,希望对大家有所帮助。当然,在使用Quartus II 15.0进行设计时,还需要深入学习Quartus II的相关使用教程,以充分发挥该软件的功能和优势。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 13
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值