基于System-Verilog的FPGA设计与仿真

流水灯

Verilog代码

module led_chaser(
    input wire clk,
    input wire rst,
    output reg [7:0] leds
);

always @(posedge clk or posedge rst) begin
    if (rst) begin
        leds <= 8'b00000001;
    end else begin
        leds <= {leds[6:0], leds[7]};
    end
end

endmodule

System Verilog改写

module led_chaser(
    input logic clk,
    input logic rst,
    output logic [7:0] leds
);

always_ff @(posedge clk or posedge rst) begin
    if (rst) begin
        leds <= 8'b00000001;
    end else begin
        leds <= {leds[6:0], leds[7]};
    end
end

endmodule

Testbench

module tb_led_chaser;
    logic clk;
    logic rst;
    logic [7:0] leds;

    led_chaser uut (
        .clk(clk),
        .rst(rst),
        .leds(leds)
    );

    initial begin
        clk = 0;
        forever #5 clk = ~clk;
    end

    initial begin
        rst = 1;
        #10;
        rst = 0;
        #100;
        $stop;
    end
endmodule

全加器

Verilog代码

module full_adder (
    input wire a,
    input wire b,
    input wire cin,
    output wire sum,
    output wire cout
);

assign {cout, sum} = a + b + cin;

endmodule

System Verilog改写

module full_adder (
    input logic a,
    input logic b,
    input logic cin,
    output logic sum,
    output logic cout
);

assign {cout, sum} = a + b + cin;

endmodule

Testbench

module tb_full_adder;
    logic a, b, cin;
    logic sum, cout;

    full_adder uut (
        .a(a),
        .b(b),
        .cin(cin),
        .sum(sum),
        .cout(cout)
    );

    initial begin
        a = 0; b = 0; cin = 0;
        #10; a = 0; b = 1; cin = 0;
        #10; a = 1; b = 0; cin = 0;
        #10; a = 1; b = 1; cin = 0;
        #10; a = 0; b = 0; cin = 1;
        #10; a = 0; b = 1; cin = 1;
        #10; a = 1; b = 0; cin = 1;
        #10; a = 1; b = 1; cin = 1;
        #10; $stop;
    end
endmodule

超声波测距

Verilog代码

module ultrasonic_distance (
    input wire clk,
    input wire rst,
    input wire echo,
    output wire trig,
    output wire [15:0] distance
);

// Implementation of ultrasonic distance measurement here

endmodule

System Verilog改写

module ultrasonic_distance (
    input logic clk,
    input logic rst,
    input logic echo,
    output logic trig,
    output logic [15:0] distance
);

// Implementation of ultrasonic distance measurement here

endmodule

Testbench

module tb_ultrasonic_distance;
    logic clk;
    logic rst;
    logic echo;
    logic trig;
    logic [15:0] distance;

    ultrasonic_distance uut (
        .clk(clk),
        .rst(rst),
        .echo(echo),
        .trig(trig),
        .distance(distance)
    );

    initial begin
        clk = 0;
        forever #5 clk = ~clk;
    end

    initial begin
        rst = 1;
        #10;
        rst = 0;
        #2000;
        $stop;
    end
endmodule
  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值