UVM新手的踩坑之旅(1)

UVM的VCS编译错误

1.在做sub_system验证,把各个组件集成到env中时报了个错误。

(这个error不同与之前的complied error,出现在uvm tree完成后出现的。)

UVM_FATAL @ 0 : reporter [BUILDERR] stopping due to build errors.

在打印的summary信息中发现,fatal之前应该还存在一个error,便在log中找到error的位置。如下:

 UVM_ERROR @ 0 : reporter [REG_NULL_SQR] Null reference specified for bus sequencer.

debug思路:首先,error类型是从来没有见过的类型,Null reference specified for bus sequencer。(没有为bus sequencer指定reference?)其次,在error出现之后还打印了整个UVM的拓扑结构,这个打印任务是在connect_phase中执行的,因此可以排除时build_phase中出现error。(在第一次debug时,没有确定好error的位置,大概存在于connect_phase.....,因此当时没有丝毫头绪)

在这个网站中找到了一点debug思路:How to use a register model from a virtual sequencer? - UVM (Pre-IEEE) Methodology and BCL Forum - Accellera Systems Initiative Forums

 这个博主定位的问题是存在与virtual_sequencer对register model的映射上,于是便去寻找virtual_sequencer和register model的相关代码上。最终发现,register model和virtual_sequencer的映射没有问题,但是env中的connect_phase却是空的。。。。。。

error原因:virtual_sequencer内部的sequencer没有映射到env中。

function void sub_system_env :: connect_phase (uvm_phase phase)
    super.connect_phase(phase);
endfunction

于是,在virtual_sequencer中找到sequencer句柄:uart_sqr,spi_sqr。在把这个两个句柄映射到env中

function void sub_system_env :: connect_phase (uvm_phase phase)
    super.connect_phase(phase);

    //把v_sqr中的每个sequencer连接在env中例化后的uart_env和spi_env中

    v_sqr.uart_sqr = uart_env_inst.uart_env.uart_agent.sequencer;
    v_sqr.spi_sqr  = spi_env_inst.spi_env.sequencer;

endfunction

思考:这个确实是个新手会犯的错误,根本原因可能是对于uvm中每个component的所位于uvm_tree位置不清楚。virtual_sequencer本身就是集中了所有部件的sequencer,需要例化在sub_system_env中。但是例化后,virtual_sequencer内部的sequencer却没正确的映射,相当于sequencer就是不知道装在哪个武器上的弹匣。如果忽略了这个错误,就会出现自己明明写了sequencer,但是uvm却没有运行的问题。

  • 10
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: 《UVM入门进阶实验1文档》是一份用于帮助初学者进一步理解和学习UVM的实验指导文档。该文档提供了UVM编程框架的第一个实验,旨在帮助读者熟悉UVM的基本概念和用法。 实验1的目标是创建一个简单的UVM测试环境,并展示如何使用UVM框架进行测试。实验包括以下几个主要步骤: 1. 创建一个UVM测试环境:在这一步骤中,我们需要定义测试环境的结构和组件。包括创建一个顶层测试模块、一个顶层环境模块,以及其他必要的组件,如驱动器、监视器、生成器等。 2. 编写测试用例:在这一步骤中,我们需要编写一个简单的测试用例来验证被测设计的功能。测试用例需要继承自UVM的`uvm_test_case`类,并在`run_phase`中定义测试过程。 3. 编写环境配置:在这一步骤中,我们需要将测试用例和测试环境进行连接,并设置一些必要的运行时参数。通过配置对象的方式,我们可以很方便地配置测试环境中的各个组件。 4. 运行仿真:在这一步骤中,我们需要运行仿真并观察测试结果。通过在测试用例中创建一个sequence对象,我们可以在运行时动态生成测试序列。 《UVM入门进阶实验1文档》详细说明了每一步的具体实现方法,并提供了代码示例和可参考的资源链接。 通过完成实验1,读者可以对UVM的基本概念和使用方法有一个更深入的了解。这将为进一步学习和掌握UVM提供坚实的基础,并为以后的工作打下良好的基础。同时,实验1还可以帮助读者培养UVM编程的思维方式和调试技巧,提高工作效率。 总之,《UVM入门进阶实验1文档》是一份非常有价值的学习资料,通过按照文档的指导完成实验,读者可以在短时间内快速入门并掌握UVM的基本用法。 ### 回答2: 《UVM入门进阶实验1文档》是一本介绍UVM(Universal Verification Methodology)的入门实验指导书。UVM是一种用于验证硬件设计的方法学,它提供了一套面向对象的验证框架,可以用于设计验证的自动化和重用。 本文档首先简要介绍了UVM的概念和特点,然后详细讲解了实验1的内容。实验1主要涉及到UVM中最基础的概念和类的使用方法。首先,介绍了UVM中的基础类,如uvm_component、uvm_object和uvm_sequence等,以及它们的继承关系和功能。然后,介绍了如何创建和管理UVM环境,并讲解了如何使用UVM Testbench中的各种组件来进行设计验证。最后,讲解了一些常用的调试技巧和工具,如波形查看器和消息记录器等。 在实验1中,学员将通过几个简单的示例,来熟悉UVM的基本概念和使用方法。例如,学员将学习如何创建一个简单的UVM Testbench,并使用UVM的配置机制来对其进行配置。此外,学员还将学习如何创建和管理UVM Sequences,并在Testbench中使用它们来生成随机的输入数据。最后,学员将学习如何使用UVM里的Transaction来封装输入输出数据,以及如何使用Scoreboard来进行结果验证。 通过完成实验1,学员将掌握UVM中最基本的概念和使用方法,为后续的进阶实验打下基础。同时,学员将对UVM的工作原理和设计验证的流程有一个清晰的认识,为进一步深入学习和应用UVM提供了基础。 ### 回答3: 《UVM入门进阶实验1文档》是一份详细介绍了如何使用UVM进行验证的教程。UVM是一种用于硬件验证的开放式框架,能够帮助工程师更高效地开发和执行验证环境。 该文档首先简要介绍了UVM的背景和原理,包括UVM Testbench的组成结构和工作流程。然后,文档逐步指导读者完成实验1,并提供了实验所需的样例代码和测试平台。 在实验1中,文档首先指导读者创建一个简单的UVM环境,并介绍了UVM的基本类和功能。然后,通过一个简单的例子演示了如何创建一个UVM测试,包括定义测试类、产生和驱动测试向量、分析和比较结果等。读者可以按照文档提供的步骤和示例代码,逐步完成实验。 在实验进行过程中,文档还不断提供了一些常见问题和解决方法。这些问题和解决方法能够帮助读者更好地理解和应用UVM,解决遇到的困惑和难题。 该文档还包括对实验的详细说明和解析,比如UVM环境的搭建、测试向量的生成和分析等。通过这些详细说明和解析,读者可以更深入地理解UVM的工作原理和实现方式。 总之,《UVM入门进阶实验1文档》是一份非常实用的教程,帮助读者快速入门和进阶使用UVM进行硬件验证。通过该文档的学习和实验,读者能够掌握UVM的基本概念和使用方法,为日后的硬件验证工作打下坚实的基础。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值