UVM:7.2.2 将寄存器模型集成到验证平台中

1.前门如下:


1)无论读写,寄存器模型都会通过sequence 产生一个uvm_reg_bus_op 的变量:




此变量中的信息要经过一个adapter 转换后交给bus_sequencer,随后交给bus_driver,实现前门操作。


2.adapter:



1)定义好两个函数,reg2bus,寄存器模型通过sequence 发出的uvm_reg_bus_op 型的变量转换成bus_sequencer 能够接受的形式。

2)bus2reg:当监测到总线上有操作时,将收集的transaction 转换成寄存器模型能够接受的形式,以便寄存器模型能够更新相应的寄存器的值。

3)读操作是,bus_driver 能够获得要读取的值,将此值放入从bus_sequencer获得的bus_transaction中,那么bus_transaction就会有读取的值,经过adapter 的bus2reg传递,最终被寄存器模型获取。由于没有实际的transaction 传递,所以虚线。

UVM (Universal Verification Methodology) ,当创建一个寄存器模型并想要将其写事务传递给DUT (Device Under Test) 时,通常需要遵循以下步骤: 1. **定义寄存器模型**:首先,在UVM环境下的`uvm_reg_block`或`uvm_reg_model`声明寄存器结构,并设置其读写属性。例如: ```vhdl class my_register extends uvm_reg_block; // ... 定义寄存器字段、地址映射等 endclass ``` 2. **创建驱动器**:在`my_register`创建一个`uvm_sequence_item`类型的驱动程序(driver),它会包装写事务并准备发送到DUT: ```vhdl class write_driver extends uvm_sequence_base #(my_register); rand bit [31:0] data; // 随机生成的数据 function new(string name = "write_driver"); super.new(name); endfunction task body(); // 在这里编写实际的写操作,如通过uvm_sequence_item的set_field函数设置寄存器值 set_field(data, self->get_address(), self); // 发送事务到DUT run_phase(); endtask endclass ``` 3. **注册驱动到环境**:在`uvm_testbench`或更高层次的环境,实例化这个驱动器,并关联到具体的寄存器实例: ```vhdl my_register dut_register; write_driver driver(dut_register); ``` 4. **启动驱动**:在测试过程,通过调用`run()`方法触发写事务到DUT: ```vhdl driver.start(1); // 运行一次写操作 ``` 5. **确认响应**:如果DUT有返回机制,比如寄存器读回或状态更新,记得在DUT上配置相应的验证逻辑。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值