自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(49)
  • 收藏
  • 关注

原创 用做软件的思路来运维html做飞机大战游

3. 复杂需求:在技术方案评审通过后,按照技术方案划分任务,有公共的代码实现(比如前端公共组件、后端公共组件)就划分为一个任务,其他部分按前端页面、组件、后端服务等划分任务,评估工期,对有依赖的任务特别注意。2. 复杂需求安排调研,做技术设计,决定技术方案,产生一个新任务。1. 简单需求前端按照页面划分任务,并评估工期,由开发人员确认;2. 简单需求后端按照接口划分任务,并评估工期,由开发人员确认;等这一个版本开发完成后,再根据这个需求开发下一个版本。这就要记录到下一个版本的需求中。4· 如何做任务排期。

2024-02-20 17:53:10 301

原创 如何创建飞机大战游戏界面

在本篇技术博客中,我们将介绍如何使用 HTML、CSS 和 JavaScript 创建一个简单但令人兴奋的飞机大战游戏界面。

2024-02-18 16:17:38 558

原创 飞机大战游戏软件需求说明书的编写提示

如果所定义的产品是一个更大的系统的一个组成部分,则应说明本产品与该系统中其他各组成部分之间的关系,为此可使用一张方框图来说明该系统的组成和本产品同其他各部分的联系和接口。列出本软件的最终用户的特点,充分说明操作人员、维护人员的教育水平和技术专长,以及本软件的预期使甩频度。飞机移动处理: 根据用户输入的键盘和鼠标操作,处理飞机的实时移动,确保用户能够灵活控制飞机。键盘输入: 用户通过键盘进行游戏中飞机的控制,包括上、下、左、右移动,发射子弹等。鼠标输入: 用户通过鼠标控制飞机的位置,实现飞机的移动。

2024-02-02 16:50:13 399

原创 html css js 标签 语法说明

是HTML中的一个标签,用于定义文档中的一个分隔区块或一个容器。标签本身并没有特定的样式或行为,它主要是作为一个容器元素,通过CSS样式或JavaScript来赋予其特定的外观和功能。对象提供的一个方法,用于通过元素的 ID 获取对应的 HTML 元素。声明的变量具有函数作用域,这意味着它们在包含它们的函数内部是可见的,并且在函数外部是不可见的。是 JavaScript 中的一个控制流语句,用于根据表达式的值执行不同的代码块。元素用于包含文档的元信息,如标题、样式表、脚本等,但它不包含实际的页面内容。

2024-01-31 15:40:33 1706

原创 html css js 自制飞机大战小游戏

background-image: url('C:/科技/FJ2.png');background-image: url('C:/科技/XK.jpg');background-image: url('C:/科技/ZD.jpg');'C:/科技/DJ1.png','C:/科技/DJ2.png','C:/科技/DJ3.png','C:/科技/DJ4.png','C:/科技/DJ5.png','C:/科技/DJ6.png'// 每隔一段时间飞机发射子弹。// 计算子弹发射角度。// 重置子弹间隔时间。

2024-01-30 17:20:31 972

原创 前端开发技术指南 一句话解释清楚

在前端开发的起步阶段,理解HTML、CSS和JavaScript的基本概念是至关重要的。这三者共同构成了网页的基础。标签用于在页面中嵌入另一个文档。语义化是指在代码中使用具有含义的标签和属性,使文档结构更清晰,提高可读性。HTML5是HTML的第五个主要版本,是Web标准的一部分,旨在改进现有的HTML和XHTML标准。语义化标签是用于描述内容含义的HTML标签,如等。通过和

2024-01-29 10:16:59 830

原创 html 外卖(可以随意改名)平台登录界面+ 点单界面

登录密码:手机号:

2024-01-26 17:09:32 1520

原创 创意编程:用 CSS 和 HTML js 打造飞机大战游戏实现飞机移动

background-image: url('C:/科技/FJ2.png');background-image: url('C:/科技/DJ2.png');background-image: url('C:/科技/DJ3.png');background-image: url('C:/科技/DJ4.png');background-image: url('C:/科技/DJ5.png');background-image: url('C:/科技/DJ6.png');// 飞机动画 弹道路径 敌机躲闪动画//

2024-01-24 16:14:05 1182

原创 JavaScript的结构

JavaScript 是一种脚本语言,用于在网页上添加交互性和动态性。代码:function addNumbers(a, b) {

2024-01-24 11:10:03 332

原创 一个鼠标监听,获取坐标值

鼠标坐标:

2024-01-24 11:05:29 510

原创 创意编程:用 CSS 和 HTML 打造飞机大战游戏展示页面

飞机大战// 飞机动画 弹道路径 敌机躲闪动画//

2024-01-23 14:37:58 1072

原创 基于51单片机的手动数字时钟

2024-01-22 09:59:27 319

原创 硬件课设毕业论文答辩技巧

一 、常见问题 1、自己为什么选择这个课题? 2 、研究这个课题的意义和目的是什么? 3、全文的基本框架、基本结构是如何安排的? 4 、全文的各部分之间逻辑关系如何? 5 、在研究本课题的过程中,发现了那些不同见解?对这些不同的意见,自己是怎样逐步认识 的?又是如何处理的? 6、论文虽未论及,但与其较密切相关的问题还有哪些? 7、还有哪些问题自己还没有搞清楚,在论文中论述得不够透彻? 8、写作论文时立论的主要依据是什么 对以上问题应仔细想一想,必要时要用笔记整理出来

2024-01-22 09:51:07 932

原创 硬件课设答辩常见问题解答

一位数码管的设计就是采用静态扫描的方式,因为一位数码管是8个段选1个位选,如果采用动态,那就是得用9个IO口,而且程序也比较麻烦,如果选用静态那么位选接电源或地(共阳接电源,共阴接地),段选接IO口,就可以控制显示了,这样只用8个IO口就ok,而且程序比较简单。从问题5中可以了解大概,就是液晶的灰度是电压控制的,当继电器吸合或风扇转动时,需要的电流较大,而我们采用的电源线或电池盒供电会有一定的压降。用可调电阻其他就是电阻分压的原理得到的电压,而我们直接用的是电阻也是可以得到正常显示的电压的。

2024-01-22 09:46:06 876

原创 用html代码设计一个图书管理系统界面

" + bookname + "" + author + "" + count + "" + publish + "" + price + '' + "" + '删除' + "出版社:

2024-01-19 15:26:29 2353

原创 彩灯电路流程图

2024-01-19 10:54:44 355

原创 进程创建实验

fork()最大的特点就是一次调用,两次返回,两次返回主要是区分父子进程,因为fork()之后将出现两个进程,所以有两个返回值,父进程返回子进程ID,子进程返回0。通过复制的方式创建一个进程,被创建的进程称为子进程,调用进程称为父进程,复制的子进程是从父进程fork()调用后面的语句开始执行的。在fork函数执行完毕后,如果创建新进程成功,则出现两个进程,一个是子进程,一个是父进程。在子进程中,fork函数返回0,在父进程中,fork返回新创建子进程的进程ID。输入q+回车退出循环,kill本组所有进程。

2024-01-19 10:51:51 862

原创 通用爬虫流程图

2024-01-19 10:44:00 1146

原创 用html制作模仿哔哩哔哩视频网页的逻辑示例

推广模块</a></li><li><a href="#features">功能模块</a></li><li><a href="#animation">动画</a></li><li><a href="#domestic">国产</a></li>/* 垂直居中 */<li><a href="#variety">综艺</a></li><li><a href="#anime">番剧</a></li><li><a href="#mad">鬼畜

2024-01-19 10:04:09 1096

原创 数据选择器的设计与vivado集成开发环境

在这次实验中,我们小组合作,互相协助,有的负责检查,有的负责使用vivado软件,这大大提高了我们的工作效率。2. 为了验证电路功能,需要设计顶层模块,实例化所设计的多路选择器模块。在顶层设计中,将SW与所设计的mux的输入关联,led与所设计的mux的输出关联。首先是通过学习通里的文件来熟悉使用vivado,对里面有问题的地方进行代码检查和修改,修改完成后,进行调试。2. 设计顶层电路,关联外设,在vivado下完成综合等设计步骤,下载至Sword实验系统验证电路功能,熟悉vivado操作流程。

2024-01-18 15:32:24 379

原创 数据库上的基本操作实验

在涉足参照完整性约束的参照表中插入数据时,理解系统是如何保证完整性的。(3)在数据库SPJ中的供应商表S、零件表P、工程项目表J、供应情况表SPJ中,用SELECT查询语句完成第2章习题6中(4)~(5)的查询。③ 在数据库SPJ中的供应商表S、零件表P、工程项目表J、供应情况表SPJ中,用SELECT语句完成第2章习题6中(1)~(2)的查询。观察删除记录时系统的执行结果。③ 在数据库SPJ的供应商表S、零件表P、工程项目表J、供应情况表SPJ中,用SELECT查询语句完成第2章习题6中(3)的查询。

2024-01-18 15:29:42 815

原创 数字加法器实验

设计了一个四位全加器,它能够接收两个四位输入和一个进位输入,并输出一个四位结果和一个进位输出。我选择这个设计方案的原因是它具有较低的功耗和较小的面积占用,适合在资源受限的环境下使用。经过仔细检查,我发现在我的Verilog代码中存在一个逻辑错误,导致输出结果错误。通过修复代码,我成功地解决了这个问题,并重新进行了仿真验证。其次,在下板过程中,我遇到了一些电路连接错误。我仔细检查了我的设计和连接图,找到了问题所在,并及时修复了连接错误。通过这些测试,我验证了我的设计在功能和性能方面的表现良好。

2024-01-18 15:23:41 371

原创 基于Verilog HDL的FPGA设计基础课内实验报告实验名称 数码管实验

通过Verilog HDL语言,我成功地描述了数字电路设计,并将其转化为可在硬件上运行的形式。在这一过程中,我积极运用ModelSim这一强大的仿真工具,全面验证和调试了我的设计。在整个设计过程中,我学到了如何编写顶层文件并实例化各个模块,将它们有机地组合成一个完整的系统。这种模块化设计方法不仅提升了代码的可重用性和维护性,还使得整个系统的结构更加清晰和易于管理。通过使用Vivado进行综合,并将设计下载到开发板进行功能测试,我能够确保我的设计在实际硬件上的正确性和可靠性。

2024-01-18 15:18:26 551

原创 基于Verilog HDL的FPGA设计基础课内实验报告实验名称:计数/定时器的设计

2024-01-18 15:08:13 351

原创 基于Verilog HDL的FPGA设计基础课内实验报告实验名称: 计数器设计实验

2024-01-18 15:03:48 297

原创 stc89c52单片机

另外,T2EX的负跳变使T2CON中的EXF2置位,EXF2也像TF2一样能够产生中断(其向量与定时器2溢出中断地址相同,定时器2中断服务程序通过查询TF2和EXF2来确定引起中断的事件),捕获模式。如果定时器2中断被使能,则当TF2或EXF2置1时,定时器2递增计数,计数到0FFFFH后溢出并置位TF2,还将产生中断(如果中断被使能)。在访问8位地址的外部数据存储器(如执行“MOVX @R1”指令)时,P2口引脚上的内容(就是专用寄存器(SFR)区中的P2寄存器的内容),在整个访问期间不会改变。

2024-01-18 14:55:55 6346

原创 AT89S52中文资料

在AT89S52 中,定时器0 和定时器1 的操作与AT89C51 和AT89C52 一样。为了把定时器2配置成时钟发生器,位C/T2(T2CON.1)必须清0,位T2OE(T2MOD.1)此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2。P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,p1 输出缓冲器能驱动4 个。P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器能驱动4 个。否则,ALE 将被微弱拉高。

2024-01-18 14:49:16 533

原创 at89c52中文资料介绍

at89c52中文资料介绍

2024-01-18 14:46:07 617

原创 dosbox汇编开发环境设置与操作

2024-01-18 14:24:33 353

原创 单片机最小系统的相关知识

晶振电路:晶振是晶体振荡器的简称 在电气上它可以等效成一个电容和一个电阻并联再串联一个电容的二端网络 电工学上这个网络有两个谐振点 以频率的高低分其中较低的频率是串联谐振 较高的频率是并联谐振 由于晶体自身的特性致使这两个频率的距离相当的接近 在这个极窄的频率范围内 晶振等效为一个电感 所以只要晶振的两端并联上合适的电容它就会组成并联谐振电路 这个并联谐振电路加到一个负反馈电路中就可以构成正弦波振荡电路 由于晶振等效为电感的频率范围很窄 所以即使其他元件的参数变化很大 这个振荡器的频率也不会有很大的变化。

2024-01-18 14:22:39 782

原创 存储器电路功能验证 实验

通过Xilinx ISE的IP Catalog,选择分布式存储器IP,生成16*8bit的RAM存储器,将RAM的初值由exampleram.coe来进行初始化。编写顶层模块代码,实现对所生成的RAM模块进行读。通过Xilinx Vivado的IP Catalog,选择分布式存储器IP,生成16*8bit的ROM存储器,将ROM的初值由examplerom.coe来进行初始化。采用自己设计编写的ram和rom模块,进行电路功能验证,观察电路运行情况,是否与IP生成的ram与rom运行情况相同。

2024-01-18 14:20:37 362

原创 用户手册(GB8567——88)模板和建议

一步一步地说明为使用本软件而需进行的安装与初始化过程,包括程序的存储形式、安装与初始化过程中的全部操作命令、系统对这些命令的反应与答复。这一条的编写针对具有查询能力的软件,内容包括:同数据库查询有关的初始化、准备、及处理所需 要的详细规定,说明查询的能力、方式,所使用的命令和所要求的控制规定。说明本软件所具有的灵活性,即当用户需求(如对操作方式、运行环境、结果精度、时间特性等的要求)有某些变化时,本软件的适应能力。在本章,首先用图表的形式说明软件的功能同系统的输入源机构、输出接收机构之间的关系。

2024-01-18 10:54:59 384

原创 项目开发总结报告(GB8567——88)模板和建议

说明在测试中检查出来的程序编制中的错误发生率,即每干条指令(或语句)中的错误指令数(或语句数)。逐项列出本软件产品所实际具有的主要功能和性能,对照可行性研究报告、项目开发计划、功能需求说明书的有关内容,说明原定的开发目标是达到了、未完全达到、或超过了。列出原定计划进度与实际进度的对比,明确说明,实际进度是提前了、还是延迟了,分析主要原因。列出从这项开发工作中所得到的最主要的经验与教训及对今后的项目开发工作的建议。给出对在开发中所使用的技术、方法、工具、手段的评价。用图给出本程序系统的实际的基本的处理流程。

2024-01-18 10:54:20 382

原创 项目开发计划(GB856T——88)模板和建议

逐项列出开发中和运行时所需的计算机系统支持,包括计算机、外围设备、通讯设备、模拟器、编译(或汇编)程序、操作系统、数据管理程序包、数据存储能力和测试支持能力等,逐项给出有关到货日期、使用时间的要求。对于项目开发中需要完成的各项工作,从需求分析、设计、实现、测试直到维护,包括文件的编制、审批、打印、分发工作,用户培训工作,软件安装工作等,按层次进行分解,指明每项任务的负责人和参加人员。列出需向用户提供的各项服务,如培训安装、维护和运行支持等,应逐项规定开始日期、所提供支持的级别和服务的期限。

2024-01-18 10:53:45 359

原创 详细设计说明书 模板

给出对该程序的简要描述,主要说明安排设计本程序的目的意义,并且,还要说明本程序的特点(如 是常驻内存还是非常驻?给出对每一个输出项的特性,包括名称、标识、数据的类型和格式,数据值的有效范围,输出的形式、数量和频度,输出媒体、对输出图形及符号的说明、安全保密条件等等。用图的形式说明本程序所隶属的上一层模块及隶属于本程序的下一层模块、子程序,说明参数赋值和调用方式,说明与本程序相直接关联的数据结构(数据库、数据文卷)。给出对每一个输入项的特性,包括名称、标识、数据的类型和格式、数据值的有效范围、输入的方式。

2024-01-17 15:49:18 332

原创 文件给制实施规定的实例

为了控制这种灵活性,保证文件编制能达到应该达到的目的,对于具体的软件开发任务,应编制的文件的种类、详细程度应取决于承担开发单位的管理能力、任务的规模、复杂性和成败风险等因素。至于源程序行数为5000~10000,50000~100000的软件,其文件编制要求介于两级之间,可根据一个软件产品的具体情况,由项目负责人参照表3的规定,确定需要编制的文件种类。为了避免在软件开发中文件编制的不足或过分,一个简便的办法是把对软件文件的编制要求同软件的规模大小联系起来,这就是本例的出发点。重大的——应用先进的技巧。

2024-01-17 15:48:40 942

原创 数据要求说明书

所谓静态数据,指在运行过程中主要作为参考的数据,它们在很长的一段时间内不会变化,一般不随运行而改变。所谓动态数据,包括所有在运行中要发生变化的数据以及在运行中要输入、输出的数据。对数据的采集和预处理过程提出专门的规定,包括适合应用的数据格式、预定的数据通信媒体和对输入的时间要求等。逐条列出对进一步扩充或使用方面的考虑而提出的对数据要求的限制(容 量、文卷、记录和数据元的个数的最大值)。按数据元的逻辑分组来说明数据采集的要求和范围,指明数据的采集方法,说明数据采集工作的承担者是用户还是开发者。

2024-01-17 15:46:35 547

原创 数据库设计说明书

向准备从事此数据库的生成、从事此数据库的测试、维护人员提供专门的指导,例如将被送入数据库的数据的格式和标准、送入数据库的操作规程和步骤,用于产生、修改、更新或使用这些数据文卷的操作指导。说明本数据库将反映的现实世界中的实体、属性和它们之间的关系等的原始数据形式,包括各数据项、记录、系、文卷的标识符、定义、类型、度量单位和值域,建立本数据库的每一幅用户视图。说明在数据库的设计中,将如何通过区分不同的访问者、不同的访问类型和不同的数据对象,进行分别对待而获得的数据库安全保密的设计考虑。

2024-01-17 15:45:50 339

原创 软件需求说明书的编写提示

如果所定义的产品是一个更大的系统的一个组成部分,则应说明本产品与该系统中其他各组成部分之间的关系,为此可使用一张方框图来说明该系统的组成和本产品同其他各部分的联系和接口。用列表的方式(例如IPO表即输入、处理、输出表的形式),逐项定量和定性地叙述对软件所提出的功能要求,说明输入什么量、经怎样的处理、得到什么输出,说明软件应支持的终端数和应支持的并行操作的用户数。说明需要管理的文卷和记录的个数、表和文卷的大小规模,要按可预见的增长对数据及其分量的存储要求作出估算。列出运行该软件所需要的硬设备。

2024-01-17 15:45:00 390

原创 模块开发卷宗(GB8567——88) 模板

扼要说明本模块(或本组模块)的功能,主要是输入、要求的处理、输出。说明直接要经过本模块(或本组模块)的每一项测试,包括这些测试各自的标识符和编号、进行这些测试的目的、所用的配置和输入、预期的输出及实际的输出。要给出所产生的本模块(或本组模块)的第一份无语法错的源代码清单以及已通过全部测试的当前有效的源代码清单。把实际测试的结果,同软件需求说明书、系统设计说明书、程序设计说明书中规定的要求进行比较和给出结论。模块名称和标识符(如果本卷宗包含多于一个的模块,则用这组模块的功能标识代替模块名)

2024-01-17 15:43:48 388

秒表计时器硬件设计 硬件课程设计

秒表计时器硬件设计 硬件课程设计

2024-01-18

操作手册 (GB8567-88)

软件工程课设

2024-01-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除