vivado2018.3 安装 板卡、网表文件配置 以及新建按键点灯工程(PL)

vivado2018.3 安装 板卡、网表文件配置 以及新建按键点灯工程(PL)

一、vivado2018.3安装 以及lisence获取

链接: Vivado18.3的安装 安装教程

二、板卡文件 以及zybo z7的用户手册 原理图

链接 zybo资料
提取码:akak

板卡文件替换

  • 打开文件夹D:\Vivado\Vivado\2018.3\data\boards 在此目录下 把原有的board_files删除 替换成网盘下载的board_files
    在这里插入图片描述
    board_files里面长这样
    在这里插入图片描述
  • 打开vivado新建工程验证:
    在这里插入图片描述
    在这里插入图片描述

创建工程名 以及储存位置(不能有中文路径!!!
在这里插入图片描述
接着连点两下next 到达这个界面:能够找到zybo z7-20就是板卡文件添加的没问题 选择zybo z7-20 然后next 接着finish 新建工程成功
在这里插入图片描述

三、PL编程 按键点灯

  1. 此页面下 点击 creat block design 然后点击OK
    在这里插入图片描述
  2. 接着电机source 然后 +
    在这里插入图片描述
  3. 添加verilog源文件 直接next 接着create file 接着给文件命名 然后finish ok点点点就行
    在这里插入图片描述
    在这里插入图片描述在这里插入图片描述
  4. 接着打开key_led.v文件编辑
    在这里插入图片描述
module key_led(
    input   key_down,
    output  led_on
    );
    assign led_on = key_down;
endmodule
  1. 如下所示 右键鼠标 添加module至block design 在此出问题 可以先进行综合(点击右边Run Synthesis)
    在这里插入图片描述
    在这里插入图片描述
  2. 接着会出现 如下界面 要进行引脚的引出 选中引脚 ctrl+t
    在这里插入图片描述
  3. 生成输出产品 点击 Generate Output Products 在弹出框 再点generate
    在这里插入图片描述
  4. 再自动创建一个顶层 Create HDL Wrapper 接着点击ok就行
    在这里插入图片描述
  5. 创建一个约束文件 点击 “+” 后选择第一个文件 接着create file 命名 ok
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
// key_down_0 是由顶层文件决定 看design_1_wrapper 决定输入输出决定引脚名称 
//也可以在designed的视图中看引脚名称 并且可以自定义名称
set_property IOSTANDARD LVCMOS33 [get_ports key_down_0] 
set_property IOSTANDARD LVCMOS33 [get_ports led_on_0] 
set_property PACKAGE_PIN K18 [get_ports key_down_0] 
set_property PACKAGE_PIN M14 [get_ports led_on_0] 

关于这个约束文件 可以参考如下博客
链接:zybo 管脚约束文件

10. 至此就可以进行比特流文件生成
在这里插入图片描述

在这里插入图片描述
11. 打开Hardware Manager 连接上开发板 点击Open target 接着 Auto Connect 然后会有 Program device 点击它 程序就下载到开发板里面了在这里插入图片描述
在这里插入图片描述

效果展示:见主页

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值