EDA技术应用Verilog HDL

用verilog设计一个3—8译码器

3-8译码器介绍

38译码器是一种 2 n 2^n 2n译码器其功能是把二进制编码的三位数经过译码变为8路输出,一次只有一个输出为选通有效,我们定义三八译码器的输入为A1,A2,A3,输出为S1,S2,S3,S4,S5,S6,S7,S8,表为三八译码器的真值表。
在这里插入图片描述

代码

module three2night(a,s);
       input [2:0]a;
		 output [7:0]s;   
		 reg [7:0]s;
		 always@(a)
		    begin
			     if(a==3'b000)
				  s<=8'b00000001;
				  if(a==3'b001)
				  s<=8'b00000010;
				  if(a==3'b010)
				  s<=8'b00000100;
				  if(a==3'b011)
				  s<=8'b00001000;
				  if(a==3'b100)
				  s<=8'b00010000;
				  if(a==3'b101)
				  s<=8'b00100000;
				  if(a==3'b110)
				  s<=8'b01000000;
				  if(a==3'b111)
				  s<=8'b10000000;
			end
endmodule

仿真波形图

在这里插入图片描述

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值