自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 收藏
  • 关注

原创 【Vivado笔记】“include xx.v“ 文件如何加入工程

-include_dirs ../../includes” — 在 .runs 目录中,综合文件夹(synth_1 和 synth_2 等任何一个适用于运行的)名称的相关路径。“-include_dirs /home/project_1/include_directory/”— 整个路径。这可通过将 -include_dirs 选项传递至 synth_design Tcl 命令按照命令行选项输入。选择 工具 > 设置 > 常规 > Verilog 选项 > Verilog 包含文件搜索路径。

2024-08-29 21:34:56 264

原创 运算放大器的好坏判别方法

的运放电路十分有用。为了保证线性运用,运放必须在闭环(负反馈)下工作。如果没有负反馈,开环放大下的运放成为一个比较器。,即使有差别也是mv级的,当然在某些高输入阻抗电路中,万用表的内阻会对电压测试有点影响,但一般也不会超过0.2V,这样不必使用代换法,不必拆下电路板上的芯片就可以判断运算放大器的好坏了。理想运算放大器具有“虚短”和“虚断”的特性,这两个特性对分析。如果检测到电压不符合这个规则,则器件必坏无疑!根据放大器虚短的原理,就是说。

2024-08-27 01:12:28 165

原创 电子工程师最在意的那些事?

中国缺少什么样的电子工程师?中国缺少满嘴胡须的电子工程师;中国缺少坐轮椅的电子工程师;中国缺少在一个行业专注几十年的资深电子技术专家;中国缺少知识全面,做事精密细致的电子系统架构师。中国有多少工作很多年的电子工程师;中国每年有多少电子新手需要开始全新的技术生涯;他们有什么困惑需要去解开;他们最关心的问题是什么?他们对未来的期待是什么?

2024-08-27 00:36:17 595

原创 【硬件电路】什么是旁路电容?

旁路电容是指可将混有高频电流和低频电流的交流电中的高频成分旁路滤掉的电容。在电路中,旁路电容通常与某个部件或元件并联连接,提供了一个可选择的低阻抗通路,使得高频噪声或信号能够绕过特定的部件或元件,从而达到滤波的目的。通过选择合适的电容值,旁路电容可以提供更好的频率响应,并对所需的频段进行增益放大,从而改善音频或射频信号的质量。旁路电容是一种在电子电路中广泛使用的元件,它的主要作用是将混有高频电流和低频电流的交流电中的高频成分旁路滤掉,从而保护电路中的其他元件免受高频噪声的干扰。

2024-08-02 23:46:36 493

原创 【常用器件】常用的贴片电阻属于什么电阻

规格:根据封装尺寸和形状的不同,贴片电阻可分为0603、0805、0402、0201等多种规格。除了薄膜电阻和厚膜电阻外,贴片电阻还包括金属箔电阻、碳膜电阻等类型。定义:薄膜电阻是通过将金属粉、金属氧化物或其他电阻材料沉积在绝缘基板上,并通过光刻、蚀刻等技术制成的电阻器。特点:薄膜电阻具有高精度、低温漂、高稳定性等特点,特别适用于需要高稳定性的场合,如各类仪器仪表及检测设备等。定义:厚膜电阻则是在陶瓷或玻璃基板上,通过丝网印刷、烧结等工艺将电阻浆料沉积并固化成膜制成的电阻器。四、贴片电阻的命名与规格。

2024-08-02 23:29:22 235

原创 【常用器件】金属膜电阻器

常见的功率等级包括1/8W、1/4W、1/2W、1W、2W等,封装形式则有编带包装、散装等。制作工艺:采用高温真空镀膜技术,将镍铬或类似的合金紧密附在瓷棒(或其他基体)表面形成皮膜,经过切割调试阻值,以达到最终要求的精密阻值,然后加适当接头切割,并在其表面涂上环氧树脂密封保护而成。4.模拟信号处理:作为模拟信号处理中的放大电路和滤波电路的关键部件,支持音频、视频和图像信号的处理。高精度:金属膜电阻器具有较高的精度,常见的精度等级包括0.25%、0.5%、1%和5%等。一、基本构成与制作工艺。

2024-08-02 23:20:12 266

原创 【硬件电路】各种类型电阻的应用场景

化学沉积膜电阻器、玻璃釉膜电阻器、金属氮化膜电阻器:这些电阻器通常具有特定的性能特点,如耐高温、耐腐蚀等,适用于特定环境或特殊要求下的应用,如航空航天、军事装备等领域。无机合成实心碳质电阻器、有机合成实心碳质电阻器:具有耐高温、抗冲击等特点,通常用于高温环境或需要较高稳定性的电路中,如工业炉窑、汽车电子等领域。金属膜电阻器、金属氧化膜电阻器:具有优良的稳定性和噪声特性,适用于精密仪器、通信设备、医疗设备等对稳定性和噪声要求较高的场合。力敏电阻器:用于测量压力、应力等物理量,常见于压力传感器、应变计等。

2024-08-02 23:08:37 449

原创 【硬件电路】电路中0欧电阻的作用总结

【硬件电路】电路中0欧电阻的作用总结

2024-08-02 22:48:38 746

原创 【VCS问题】Ubantu运行VCS遇到的问题

【代码】【VCS问题】Ubantu运行VCS遇到的问题。

2024-04-29 15:29:24 151

原创 quartus获得资源使用情况报告步骤

4、在Fitter下展开 Resource section,然后选择Resource Utilization by Entity来查看资源利用情况信息。3、在Fitter下展开Resource section,然后选择Resource Usage Summary来查看资源使用情况信息。1、在Processing 菜单中,点击Start Compilation运行一个完整编译。编译设计后,在Processing菜单中点击Compilation Report。

2024-04-02 10:53:45 1713 1

原创 【FPGA学习笔记】利用FPGA产生随机数,LFSR如何选择反馈项?

在每个时钟周期,所有寄存器单元中的比特都向右移动一位,同时根据预先设定的反馈多项式进行计算,得到新的输入比特。新的输入比特被存储在最左边的寄存器单元中,而原来最右边的比特则被丢弃。LFSR(Linear Feedback Shift Register,线性反馈移位寄存器)是一种经典的数字逻辑电路,常用于生成伪随机序列。反馈计算:在每个时钟周期,根据反馈多项式计算一个新的比特,并将其放入寄存器的最左边。这个计算通常是一个异或运算。输出:LFSR的输出是寄存器中的某些比特,可以根据需要从寄存器中选择输出。

2024-03-11 00:06:25 653 1

原创 【FPGA静态时序分析与时序约束_2】基础知识总结2

时钟在FPGA中,是逻辑运行的一个基准。实际电路中输入给 FPGA 的晶振时钟信号其实是正弦波,这个波形不影响 FPGA 对时钟的识别。

2024-02-17 00:13:40 983

原创 【FPGA静态时序分析与时序约束_1】基础知识总结1

针对设计电路,添加是时序约束后,分析系统是否满足设定的时序要求。当时序约束要求过高时,要么降低要求,要么更换更加高速的器件。例如:一个信号需要从输入到输出在 FPGA 内部经过一些逻辑延时和路径延时。我们的系统要求这个信号在 FPGA 内部的延时不能超过 13ns,而开发工具在执行过程中会找到一些可能的布局布线方式:图中是可能的布线情况:区域 1 的延迟是 5ns,区域 2 的延迟是 7ns,区域 3 的延迟是 5ns,区域 4 的延迟是 11ns;

2024-02-15 16:40:46 1031

原创 Quartus和Modelsim进行联合仿真时,对ROM数据进行读数据,没有数据输出的问题!

解决方案:将hex文件路径修改为工程的根目录即可,并且modelsim不支持mif文件初始化。解决方法:将mif文件放在工程的根目录下即可。无法读取ram的初始化hex文件。无法读取rom的初始化mif文件。

2024-02-07 20:40:18 550 1

原创 在QUARTUS中,如何定义.mif 文件格式

另一部分则是 begin与end中间的"1:data1;"是一一对应的地址与数据。ADDRESS_RADIX和 DATA_RADIX通常用。HEX,Hexadecimal ,十六进制。DEC,Decimal ,十进制。BIN,Binary ,二进制。OCT,Octal ,八进制。UNS,即无符号十进制数;DEPTH就是地址深度,WIDTH就是字宽,

2024-02-07 19:15:57 814 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除