广工电工与电子技术实验报告-8路彩灯循环控制电路

实验代码

module LED_water (clk,led);
    input           clk;
    output  [7:0]   led;
    reg     [7:0]   led;
    integer         p;

    reg clk_1Hz;
    reg [7:0]   current_state, next_state;

    always @(posedge clk) begin
        if(p==25000000-1)begin
            p=0;
            clk_1Hz=~clk_1Hz;
        end
        else begin
            p=p+1;
        end
    end

    parameter S0 = 8'b00000000;
    parameter S1 = 8'b00000001;
    parameter S2 = 8'b00000010;
    parameter S3 = 8'b00000100;
    parameter S4 = 8'b00001000;
    parameter S5 = 8'b00010000;
    parameter S6 = 8'b00100000;
    parameter S7 = 8'b01000000;
    parameter S8 = 8'b10000000;

always @(posedge clk_1Hz) begin
    current_state<=next_state;
end

always @(current_state) begin
    case (current_state)
        S0: next_state<=S1;
        S1: next_state<=S2;
        S2: next_state<=S3;
        S3: next_state<=S4;
        S4: next_state<=S5;
        S5: next_state<=S6;
        S6: next_state<=S7;
        S7: next_state<=S8;
        S8: next_state<=S0;
        default: next_state<=S0;
    endcase
end
    always @(current_state) begin
        case (current_state)
                S0: led<=S0;
                S1: led<=S1;
                S2: led<=S2;
                S3: led<=S3;
                S4: led<=S4;
                S5: led<=S5;
                S6: led<=S6;
                S7: led<=S7;
                S8: led<=S8;
            default: led<=S0;
        endcase
    end
endmodule

实验报告

  • 12
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
广工EDA实验4-8是一个电子设计自动化实验,旨在帮助学生掌握EDA工具的使用和电路设计的基本原理。 在这个实验中,我们将学习如何使用广工EDA软件来设计和验证数字电路。首先,我们需要了解EDA工具的基本操作,包括创建新项目、导入模块和配置设计约束等。然后,我们将使用EDA工具来设计一个特定的数字电路,例如门电路、时序电路或状态机。通过EDA工具的模拟功能,我们可以模拟电路的行为并进行性能分析,以确保电路的准确性和可靠性。 在实验过程中,我们会遇到各种问题和挑战,例如设计约束的选择、电路逻辑的优化和时序问题的解决等。通过解决这些问题,我们可以提高自己的设计能力和逻辑思维能力。 此外,在实验中我们还将学习如何使用EDA工具的布局和布线功能。通过布局和布线,我们可以将电路设计转化为物理实现,确定电路组件的位置和互联关系,以优化电路性能和减少功耗。 最后,在实验的结尾,我们需要对我们的设计结果进行验证和分析。通过EDA工具的验证功能,我们可以检测电路设计中的错误和矛盾,确保我们的电路设计与预期一致。 总之,广工EDA实验4-8是一个有助于学生掌握EDA工具和电路设计原理的实验。通过实验,我们可以提高自己的设计能力和逻辑思维能力,并在完成实验后获得对数字电路设计的更深入的理解和应用能力。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值