关于ModelSim从quartus自动启动仿真

实验环境是Quartus 13.0。其他的版本应该差不多。

本篇实际上集中总结了很多我曾经遇到的问题,相信可能也是你的问题。


1. 在建立工程的时候就有要设置要采用的工具软件。这一步如果当时没做,可以在

assignment ==> settings ==> EDA tools settings

在simulation处选择modelsim altera。


2. tools ==> opetions ==> general ==> EDA tool opetions

选择modelsim altera路径,注意要选择到这个文件夹才行。

D:\Altera13\modelsim_ase\win32aloem

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

程序猿Boris

我们都是技术人,我们相互支持

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值