基于FPGA的算数逻辑单元ALU设计Verilog代码VIVADO仿真

名称:基于FPGA的算数逻辑单元ALU设计Verilog代码VIVADO仿真(文末获取)

软件:VIVADO

语言:Verilog

代码功能:

算术逻辑单元(ALU)的 verilog HDL描述。

该电路能进行两个算术运算和两个逻辑运算,且由一个2位的输入来选择操作。四个运算为加、减、与和或。(参与运算的两个变量各为1个一位二进制数)

算数逻辑单元ALU要求.jpg

1. 工程文件

2. 程序文件

3. 程序编译

4. Testbench

5. 仿真图

部分代码展示:

module ALU(
input [1:0] SEL,//选择输入
input A,//输入变量1
input B,//输入变量2
output reg result//结果
);
always@(SEL,A,B)
case(SEL)
2'b00:result=A+B;//加
2'b01:result=A-B;//减
2'b10:result=A&B;//与
2'b11:result=A|B;//或
endcase
源代码

 扫描文章末尾的公众号二维码

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值