4选一数据选择器Verilog代码Quartus仿真

名称:4选一数据选择器Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:4选一数据选择器

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 仿真图

Sel为00时,b输出为a1即低电平。Sel为01时,b输出为a2即高电平。Sel为10时,b输出为a3,即低电平。Sel为11时,输出为a4,即高电平。

部分代码展示:

//4选一数据选择器
module mux_41(
input [1:0] sel,//选择控制信号
input a1,//输入1
input a2,//输入2
input a3,//输入3
input a4,//输入4
output reg b //输出
);
always@(*)
case(sel)//case语句做数据选择
2'b00:b=a1;//输出数据1
2'b01:b=a2;//输出数据2
2'b10:b=a3;//输出数据3
2'b11:b=a4;//输出数据4
完整代码

 扫描文章末尾的公众号二维码

  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值