同步fifo的Verilog实现

同步fifo的Verilog实现

 

转自  https://www.cnblogs.com/ylsm-kb/p/9055510.html  

FIFO是一种先进先出的数据缓存器,他与普通存储器相比:

  优点:没有外部读写地址线,这样使用起来非常简单;

  缺点:只能顺序写入数据,顺序的读出数据, 其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。

根据FIFO工作的时钟域,可以将FIFO分为同步FIFO和异步FIFO。同步FIFO是指读时钟和写时钟为同一个时钟。在时钟沿来临时同时发生读写操作。异步FIFO是指读写时钟不一致,读写时钟是互相独立的。

 

 FIFO设计的难点在于怎样判断FIFO的空/满状态。为了保证数据正确的写入或读出,而不发生溢出或读空的状态出现,必须保证FIFO在满的情况下不能进行写操作,在空的状态下不能进行读操作。

因此,怎样判断FIFO的满/空就成了FIFO设计的核心问题。

 

实现方法1:

复制代码

 module fifo(
     input clk,
     input rst,
     input din,
     input wr_en,
 
     input rd_en,
     output reg dout,
     output  empty,
     output  full
);  

parameter WIDTH=4'd8,DEPTH=7'd64;//假设位宽为8,深度为64,只考虑深度为2的幂次方的情况

reg [WIDTH-1 : 0] ram [DEPTH-1 : 0];//开辟存储区
reg [5 : 0] count;

reg rp,wp;//定义读写指针

always@(posedge clk) begin

  if(rst) begin
    wp <= 0;
    rp <= 0;
    dout <= 0;
    empty <= 1;
    full <= 0;
    count <= 0;
  end
  else begin
    case({rd_en,wr_en}) begin
      2'00:count <= count;
      
      2'b01:begin
        if(~full) begin
          ram(wp) <= din;
          wp <= wp + 1;
          count <= count + 1;
        end
      end

      2'b10:begin
        if(~empty) begin
          dout <= ram(rp);
          rp <= rp + 1;
          count <= count - 1;
        end  
      end

      2'b11:begin
        if(empty) begin
          ram(wp) <= din;
          wp <= wp + 1;
          count <= count + 1;
        end
        else begin
          ram(wp) <= din;
          wp <= wp + 1;
          dout <= ram(rp);
          rp <= rp + 1;
          count <= count;
        end
      end
    end
  end
end

assign full = (count == 6'd63) ? 1 : 0;
assign empty = (count == 0) ? 1 : 0;

复制代码

 实现方法2:

复制代码

 module fifo(
     input clk,
     input rst,
     input din,
     input wr_en,
 
     input rd_en,
     output dout,
     output reg empty,
     output reg full
);  

parameter WIDTH=4'd8,DEPTH=7'd64;//假设位宽为8,深度为64,只考虑深度为2的幂次方的情况

reg [WIDTH-1 : 0] ram [DEPTH-1 : 0];//开辟存储区
reg [DEPTH-1 : 0] count;
wire [WIDTH-1 : 0] dout,din;//读写数据
reg rp,wp;//定义读写指针

//写入数据din
always@(posedge clk) begin
  if((wr_en & ~full) || (full & wr_en & rd_en)) begin
    ram(wp) <= din;
  end
end

//读出数据dout
assign dout = (rd_en & ~empty)?ram(rp):0;

//写指针wp
always@(posedge clk)begin
  if(rst)begin
    wp <= 0;
  end
  else if(wr_en & ~full) begin
    wp <= wp + 1;
  end
  else if(full && (wr_en & rd_en)) begin
    wp <= wp + 1;
  end
end

//读指针rp
always@(posedge clk) begin
  if(rst) begin
    rp <= 0;
  end
  else if(rd_en & ~empty) begin
    rp <= rp + 1;
  end
end

//满标志full
always@(posedge clk) begin
  if(rst) begin
    full <= 0;
  end
  else if((wr_en & ~rd_en) && (wp == rp - 1)) begin
    full <= 1;
  end
  else if(full & rd_en) begin
    full <= 0
  end
end

//空标志empty
always@(posedge clk) begin
  if(rst) begin
    empty <= 1;
  end
  else if(wr_en & empty) begin
    empty <= 0;
  end
  else if((rd_en & ~wr_en) && (rp == wp - 1)) begin
    empty <= 1;
  end
end

复制代码

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值