自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (8)
  • 收藏
  • 关注

原创 Vivado FIR compiler 7.2 滤波器 仿真中遇到的问题及解决

一、Matlab FIR 滤波器定点系数导出及 Vivado FIR 滤波器 IP核 生成参见基于vivado的fir ip核的重采样设计与实现[1],这里不再赘述。本文默认读者对Vivado按钮分布比较了解,不再讲解按钮位置。二、部分问题及解决方法(本文例程是对数据上采样滤波)1、文件准备IP核生成【位于:Sources->Hierarchy->Design Sour...

2020-01-06 17:33:47 8593 2

win10镜像中的sxs文件,用以离线安装.netframework3.5环境

win10镜像中的sxs文件,用以离线安装.netframework3.5环境;在运行Dism工具后,以管理员身份运行命令行:"dism.exe /online /enable-feature /featurename:netfx3 /Source:E:\sxs",其中E:\sxs为对应sxs文件位置

2021-12-03

ZYNQ_开发平台参考教程.7z

MicroZus_开发平台参考教程,MicroPhase开发板购买带的开发资料,基于ZYNQ XC7Z010 CLG400 器件,使用FPGA PL部分,或者ARM PS 部分开发实用入门功能,包含一些进阶VIVADO软件操作,是一个很不错的入门教程。

2020-01-13

Vivado_license.zip

Vivado License 文件,亲测2019.1版本可用,有效期到2017.5; Vivado Licence 永久使用版 源码来源于互联网 适用版本:适用于Vivado 2037年版 之前的任何版本 过期日期:永久有效 使用方法: 1.打开Vivado License Manager(注册文件管理器) 2.点击Load License 3.将license文件导入 4.在View License Status查看license适用版本与过期日期

2019-11-23

数据拟合,将一组数据作直方图,并在同一幅图中做出相应的正态拟合曲线

数据拟合,将一组数据作直方图,并在同一幅图中做出相应的正态拟合曲线

2019-09-18

2X1 MISO 2x2 MIMO 在 STBC QPSK下的 误码率

2X1 MISO 2x2 MIMO 在 STBC QPSK下的 误码率 对比 ,MIMO大约有3dB增益

2019-09-18

16QAM 与 QPSK 在 AWGN 信道下的对比

16QAM 与 QPSK 在 AWGN 信道下的对比,验证大约有7dB的差异

2019-09-18

QPSK 调制在高斯信道下的性能

R2016b 以后可以支持 | 第一节为误比特率,第二节为误码率,第三节为使用for 语句编写 | 第一节theory设为1有理论与实际曲线

2019-09-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除