按键检测(二)

按键检测(二)

前言

基本功是每按一次按键,信号电平发生一次反转
按键长按或者不按信号状态不发生变化,比如按下后LED点亮,此时继续保持按下或者松开,LED状态不变,当按键再次按下后,LED才会熄灭。
应用场景:如果按键按下为高电平,那么就可以用来检测按键按下时的上升沿,或者中断的上升沿,调整防抖时延实现不同的灵敏度。


代码实现

module	key_flap(
      clk,
		rst_n,
		key,
		flap_o
);
input clk;
input rst_n;
input key;
output reg flap_o;
/*延时计算*/
parameter  Period=32'd1000000 ;
reg [31:0]  cunter;
reg time_up;
reg clear;   //1: 开始计数  0:清零计数
always @(posedge clk or negedge rst_n)
begin
	if(!rst_n)
	  begin
			cunter<=32'd0;
			time_up<=0;
	  end
	 else if(!clear)
	 begin
		  cunter<=32'd0;
		  time_up<=0;
	 end
	else if(cunter==Period)
		begin
			cunter<=32'd0;
			time_up<=1;
		end
	else 
		begin
			cunter<=cunter+1'b1;
			time_up<=0;
		end		
end 
/*按键上升沿检测*/
reg key_old;
reg key_upedge;
always @(posedge clk or negedge rst_n)
begin	
    if(!rst_n)
		begin
			key_upedge<=0;
		end
	  else
		begin
			key_old<=key;
			key_upedge<=key&(!key_old);
		end	
end
/*延时开关*/
always @(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		begin
			clear<=0;
		end
	else if(key_upedge)
	begin
		clear <=1;
	end
	else if(time_up)
	   clear<=0;
end
/*时序逻辑time_up会有两个周期的高电平,因此需要去掉一个*/
wire time_up_flag;
assign time_up_flag=time_up&clear;//他俩高电平重叠一个周期,可通过signal tap 调试
/*状态输出*/
always @(posedge clk or negedge rst_n)
	begin
		if(!rst_n)
		 begin
			flap_o<=0;  //默认输出低电平,可根据需要更改初始状态
		 end
		 else if(time_up_flag)
			begin
				if(key)
				  begin
					flap_o<=~flap_o;
				  end
				 else
					begin
						flap_o<=flap_o;
					end	
			end
			else
				flap_o<=flap_o;
	end
endmodule	
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值