自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

小孟同学的博客

欢迎SI的朋友多沟通、多交流、勤走动,多联络。

  • 博客(8)
  • 资源 (29)
  • 收藏
  • 关注

原创 高速电路设计基本概念之——PCB板材各项参数解读

Dk&Df Dk即介电常数,又叫介质常数,介电系数或电容率,它是表示绝缘能力特性的一个系数,以字母ε表示,在工程应用中,介电常数时常在以相对介电常数的形式被表达,而不是绝对值。常见应用有计算阻抗和时延。 Df又称损耗因子、阻尼因子或内耗(internal dissipation)或损耗角正切(loss tangent),是材料在交变力场作用下应变与应力周...

2019-08-30 14:02:11 15257 2

原创 高速信号的预加重(Pre-emphasis)和去加重(De-emphasis)

为什么要去加重或预加重?在高速信号传输中,信号链路对高频信号的衰减大于对低频信号的衰减,这就导致了高速信号中高频和低频之间巨大的幅度差。反应在眼图上就是减小了眼高,并增大了Jitter。上图中,红色箭头代表眼高和眼宽,黑色箭头加在一起代表了TJ(Total Jitter).1. 眼高和信号幅度的峰峰值差距过大——信号高频和低频的幅度差导致。2. 眼皮很厚——Jitter过大导致。3...

2019-08-22 15:40:38 48984 1

原创 CAM350导入文件时报错:No header % found,load stoppd

转自:https://blog.csdn.net/sy_lixiang/article/details/802564311.如果在drl文件导入CAM350进行查看的过程出现如下错误,说明PCB板内包含槽孔。Allegro16.6生成的rou文件,包含1个%,引起的这个错误。2.打开unamed.rou文件,可以在第6行看到有一个%,将其删除,即可解决该问题。...

2019-08-21 09:47:55 5554

原创 高速电路设计基本概念之——stitching via/aggressor via

什么是 stitching via和aggressor via?stitch的意思为缝合,在AD中,有一个功能为via stitching,就是在走线两侧添加过孔,效果如下stitching via其实就是没有走线的过孔。https://www.altium.com/documentation/15.1/display/ADES/((Via+Stitching+and+Via+Shie...

2019-08-20 15:19:13 9564

原创 高速电路设计基本概念之——微带线(Microstrip)和带状线(Stripline)

什么是微带线和带状线?Stripline and microstrip are methods of routing high speed transmission lineson your PCB design. Stripline is a transmission line trace surrounded by dielectric material suspended betwee...

2019-08-19 11:46:01 8193

原创 高速电路设计中阻抗相关概念及其计算(Polar si9000)

下面熟悉下在叠层里面的一些基本概念,和厂家打交道经常会使用的Oz 的概念Oz 本来是重量的单位Oz(盎司 )=28.3 g(克)在叠层里面是这么定义的,在一平方英尺的面积上铺一盎司的铜的厚度为1Oz,对应的单位如下介电常数(DK)的概念电容器极板间有电介质存在时的电容量Cx 与同样形状和尺寸的真空电容量Co之比为介电常数:ε = Cx/Co = ε'-ε"Prepreg/Cor...

2019-08-15 17:36:23 2165

原创 高速电路设计基本概念之——Insertion Loss/Return Loss

1、什么是Insertion Loss和Return Loss?经典的《Signal and Power Intergrity》中在S参数一章作了如下定义:Insertion loss is a measure of what is lost from the signal when the interconnect is "inserted" between the two ports of...

2019-08-14 16:35:27 19990 1

原创 高速电路设计基本概念之——Pin Delay

什么是Pin Delay?芯片内部,核心单元到封装引脚之间的距离成为Pin Delay。在高速电路设计中,需要考虑芯片的Pin Delay来减少走线长度误差。Pin Delay怎么计算?在厂商提供的资料中,Pin Delay通常取Min Delay和Max Delay的平均值,单位为ps,信号在PCB中的传播速度接近6mil/ps(实际根据使用的基材DK值换算),将这个数字乘以6就可以...

2019-08-13 09:43:24 15633

粒子运动动画代码Python

设想一下,爆炸包含一群细小的粒子,每个粒子有关联的位置和颜色。爆炸开始时,粒子在空间中的一个点上聚成一图。随着时间的推移,它们根据一定的数学规则向外移动,并改变颜色,让你定期绘制所有粒子,从而生成爆炸的动画。利用好的数学模型、大量粒子,以及透明度和公告板(billboarding)这样的渲染技术,可以创建逼真的效果。 本项目会制定粒子运动的数学模型,将它表示为时间的函数,并利用图形处理单元(GPU)的着色器进行计算。然后,会设计一种渲染方案,利用一种名为公告板的技术,它让二维图像一直面向观众,从而使二维图像看起来像是三维的,用一种令人信服的方式来绘制这些粒子。还会用OpenGL着色器让粒子旋转,并生成动画场景。你可以通过按键来打开或关闭各种效果,进行比较。

2023-12-22

三维立体画Python代码

三维立体画的工作原理是改变图像中图案之间的线性间距,从而产生深度的错觉。在观看三维立体画中的重复图案时,大脑会将间距解释为深度信息,如果有多个图案和不同的间距,尤其会这样。 如果你的眼睛汇聚在图像背后一个假想的点,大脑将左眼看到的一些点与右眼看到的另一些点匹配起来,你将会看到这些点位于图像之后的一个平面上。到该平面的感知距离取决于图案中的间距的数量。 为什么大脑将这些图案的间距解读为深度?通常情况下,如果看远处的物体,你的双眼协作,聚焦并汇聚在同一点,双眼向内转,直接指向目标点。但用“墙眼”方式观看三维立体画时,聚焦和汇聚发生在不同的位置。眼睛专注于三维立体画,但大脑将重复的模式看成来自同一个虚拟(虚构的)对象,眼睛汇聚在图像背后的一个点。解耦的聚焦和汇聚叠加在一起,让你在三维立体画中看到深度。

2023-12-22

照片马赛克Python代码

照片马赛克是一张图像,它被分割成长方形的网格,每个长方形由另一张匹配“目标”的图像(最终希望出现在照片马赛克中的图像)替代。换言之,如果从远处看照片马赛克,会看到目 标图像;但如果走近,会看到该图像实际上包含许多较小的图像。 低分辨率块状图像,靠近了很难识别,但如果从远处看,就知道它代表什么,因为看到的细节较少,就使得边缘越光滑。照片马赛克的原理是相似的。从远处看,图像看起来正常,但走近时秘密揭开了:每“块”都是一个独特的图像! 将目标图像划分成较小图像的网格,并用适当的图像替换网格中的每一小块,创建原始图像的照片马赛克。你可以指定网格的尺寸,并选择输入图像是否可以在马赛克中重复使用。

2023-12-22

Karplus-Strong算法输出音乐泛音

在吉他上拨弦时,乐器产生了不同强度的混合频率,强度随着时间推移而逐渐消失。拨动吉他 D弦听到的主要刚拨弦时声音最强,频率称为基本频率,是146.83 赫兹,但也会听到该频率的一些倍数,称为泛音任何乐器的声音都由这种基本频率和泛音组成,正是这种组合,让吉他听起来像吉他。 在计算机上模拟拨弦乐器的声音,要能同时生成基本频率和泛音诀窍是利用Karplus-Strong算法。 使用 Karplus-Strong 算法,产生5个类似吉他的音符,它们属于一个音阶(一系列相关的音符)。我们会让产生这些音符的算法可视化,并将声音保存为WAV文件。我们还会创建一种方式,随机演奏它们,并学习如何做到以下几点:用Python的deque类实现环形缓冲区:使用numpy数组和ufuncs;用pygame播放WAV文件;用matplotlib绘图;演奏五声音阶。

2023-12-22

学生基本信息成绩管理系统python tkinter+MySQL源码

使用python tkinter+MySQL开发,包括学生信息管理模块、学生成绩管理模块、学生信息查询模块、成绩信息查询模块、年级设置模块以及主窗体模块等。 简单友好的操作窗体,方便管理员的日常管理工作,整个系统操作流程简单,易于操作;完备的学生成绩管理功能;全面的系统维护管理,方便系统日后维护工作;强大的基础信息设置功能。 登陆窗体包括用户名和密码的输入,主窗体显示当前登陆用户和登录时间,基本设置包括年纪设置,班级设置,考试科目设计,考试类别等。基本信息管理包括学生管理和成绩管理。系统查询包括学生信息查询和学生成绩查询。 studentMS项目文件夹下,baseinfo为基本信息管理文件夹,database为数据库脚本文件夹,query为系统查询文件夹,service为服务文件夹,操作数据库的代码文件,setting为基本设置文件夹,包括班级设置代码、考试类别设置代码、年级设置代码、考试科目设置代码。login.py为登陆主窗体代码,main.py为主窗体代码。

2023-04-13

PCIe协议规范合集,包括PCI-Express-Base/CEM/PHY TEST等2.0-6.0

NCB-PCI_Express_Base_6.0 NCB-PCI_Express_Base_5.0r1.0-2019-05-22 CB-PCI_Express_Base_4.0r1.0_September-29-2017-c PCI Express Base Specification Revision 3.1a PCI Express Base Spec 2.0 PCIe_CEM_R5_V1.0_06092021_NCB PCIe_CEM_SPEC_R4_V1_0_08072019_NCB PCIe_PHY_Test_Spec_04232019_NCB PCI_Express_Test_Spec_Electrical_Layer_3_0_rev_06062013_TS1 PCI_Express_CEM_r3.0 PCI_Express_CEM_r2.0 PCIe_PHY_Test_Spec_04232019_NCB

2023-03-25

哪一个更好?比较描述频率相关损耗的因素

在以 2 Gbps 及以上速率运行的任何通道中,导体和介电损耗会影响通道性能。这些影响必须包含在任何准确的系统仿真中。 问题不在于模拟器不这样做;互连损耗数学表达式有多种选择,很难决定如何将晶圆厂信息转换为模拟器输入。 行业中广泛使用的介电和导体损耗参数化数学表达式有不同的组合。每个都在一定程度上起作用。 本文采用每个数学表达式,解释其来源,评估其预测的插入损耗幅度和相位,然后探索表达式如何缩放。 这在将试件结果转化为准确的模拟预测时非常有用。

2023-03-02

双轴电缆中的偏斜及其在下一代差分信号中的意义

偏斜是最常讨论但了解最少的参数之一,用于确定铜通道的整体健康状况。 偏斜值高度依赖于测量技术,因此变化很大。下一代数据标准对通道的所有参数要求越来越严格的容差和可重复性。 测量中存在的不一致使得偏斜成为下一代电缆规范的不良指标。 本文研究了不同形式的偏斜及其对其他通道参数的影响,并提出了一种更好、可重复性更高的电缆测量方法。 此外,偏斜不会生成 s 参数数据中尚未提供的有关通道健康状况的信息。

2023-03-01

基于测量的仿真:利用来自实验室测量的数据提高 IBIS-AMI 模型的准确性

频谱分析仪和特定数据模式的组合可用于可靠地识别 SerDes 发射器和接收器中的不同抖动和噪声源,精确量化相关的损伤。 本文使用在真实系统上测量的数据来说明在系统级测量串行通道中基于时钟的 DCD、基于数据的 DCD、参考时钟相位噪声、时钟泄漏、电源噪声、传输损耗和串扰的过程。 一旦量化了不同的损伤,就可以提取抖动和噪声预算,供标准串行链路仿真工具使用。 这允许从硅表征中提取的数据用于在新系统设计期间准确预测操作裕度。 本文将演示器件抖动和噪声预算的推导,以及仿真结果与原始测量数据的相关性。

2023-03-01

如何提高具有芯片 PCB 分层结构的模数转换器 (ADC) 的电源完整性

对噪声敏感的模拟设备和可能成为噪声源的数字设备、电源电路和天线等其他设备已集成到最新的电气系统中。由于模拟器件和噪声源的集成带来的复杂性,很难实现模拟或混合模式系统的高性能。在本研究中,我们将对混合模式系统的电源完整性进行建模和分析。 为了验证模型和对混合模式系统的分析,我们使用 4 位闪存型模数转换器 (ADC) 作为目标系统应用,因为 ADC 是必不可少的混合模式器件之一,而闪存型ADC是常见的高速ADC之一。为验证模型和分析,采用 0.13 µm CMOS 工艺制造了一个 4 位闪存型 ADC,并将其互连到设计的 PCB。

2023-03-01

大功率逆变器设备和基于 FPGA 的控制器的建模、仿真和实现

本文提出了一种新的功率逆变器系统设计方法。 设计从 Multisim 中的模拟设备电路和 LabVIEW 中的 FPGA 控制器的系统协同仿真开始。 模拟电路由单级三相逆变器和无源负载的简化电热模型组成。 该控制器由多个误差放大器、补偿器和 PWM 发生器组成。 协同仿真工具用于分析和比较不同的 IGBT 模块和不同的控制拓扑,以优化效率、热疲劳和电能质量方面的性能。协同仿真后,控制器代码被编译到 FPGA 目标上。 由于模拟设备和数字控制系统算法是在单一开发工具中共同仿真的,因此每个子系统都可以在仿真环境中轻松修改和测试,从而节省了验证大型电力电子设备的时间和成本。优化仿真结果后,设计从仿真环境转移到物理硬件。 配合连接器设计用于连接 FPGA 控制硬件和高功率 6 件装逆变器模块。这种新颖的原型结构,逆变器堆栈,允许三个 PCB 充当具有多种功能的单一系统。 联合仿真结果与硬件结果之间的比较显示出非常好的一致性,尤其是在启动瞬态响应期间。 这种新的联合仿真方法是功率逆变器系统快速设计的重大改进。更重要的是,由于功率逆变器是许多可再生能源系统的关键组件,例如动力风力涡轮机、太阳能电

2023-03-01

解决 SoC 低功耗验证的挑战

当今的许多片上系统 (SoC) 设备都旨在尽可能降低功耗。有多种技术可用于管理 SoC 设计中的电源,包括建立可独立控制的电源域。 最常见的技术是为正在运行的应用程序当前不需要的域关闭电源 (PSO)。 虽然概念简单,但 PSO 很难正确实施。 使用 PSO 验证 SoC 是一项重大挑战。本文提出了一种新颖的解决方案。 存在自动生成自我验证 C 测试用例的技术,这些用例在仿真中的嵌入式处理器上运行,并在关闭和打开电源域的同时执行广泛的功能。这确保了 PSO 不会破坏芯片的运行,并使 SoC 更有可能在第一块硅片上投入生产。

2023-03-01

早期设计阶段的电源/接地凸块优化技术

本文演示了考虑 IR/DVD 效应的早期芯片设计阶段的片上电源/接地凸点优化技术。通过提供特殊功能;功率图创建和设计原型在签核后具有相同的精度,建议的早期电源/接地凸块优化技术使设计人员能够预测电源噪声并确定最佳电源和接地凸块拓扑结构在适当的时间点应确定 RDL 和包路由规范。 本文介绍了所提出方法的总体过程。同时也给出了应用该方法前后仿真水平的对比结果。特别是,本文展示了如何从硅片到系统级验证所提出的优化方法,以及涵盖芯片、封装和电路板的协同分析的比较结果。

2023-03-01

高带宽存储器Gen4混合均衡器设计

在保持或增加超过1024条IO线的同时,HBM第4代预计将具有12.8Gb/s引脚数据速率,以进一步提高带宽。 为了使信道响应平坦化并减轻来自插入器信道的符号间干扰(ISI),HBM第4代需要一种新的均衡器,该均衡器满足以下要求:(1)ISI消除效果高达6.4GHz或更高;(2) 低功耗;(3) 小面积消耗。 在本文中,我们首先提出了一种用于HBM第4代I/O的混合均衡器(HE),该均衡器具有插入式无源均衡器(OIPE)和1抽头判决反馈均衡器(DFE)。 通过共同设计OIPE和DFE,所提出的HE可以克服1抽头DFE、长尾ISI问题和OIPE、增益下降问题的缺点。 此外,它可以提供以下优点:(1)通过减少DFE的抽头数量来实现低功耗;(2)通过在插入器而不是芯片上实现无源均衡器来实现小面积功耗。 所提出的HE通过成功打开10mm长的插入器通道的闭眼图,实现了12.8Gb/s宽I/O线的数据传输。

2023-02-25

112G系统设计信道细节和系统性能.pdf

新兴的尖端IT使用模式正在推动行业标准采用更高的信令速度,以及先进的设备和互连技术。 为了加快新技术在大批量制造领域的可用性,包括其可扩展性和成本效益,通常可以在系统和设备实现之间进行适当的权衡。 本文讨论了每通道112 Gb/s(PAM-4)信令速率下系统及其子组件的主要设计方面。 它解决了无源互连的信号完整性特性、器件封装基板、I/O均衡块以及前向纠错(FEC)产生的延迟,以满足所需的系统误码率(BER)。

2023-02-25

LPDDR5信号完整性研究.pdf

本文介绍了使用1抽头DFE(判决反馈均衡)的LPDDR5 SoC DRAM PoP(封装在封装上)系统的SI(信号完整性)分析。 系统以6.4 Gbps的速度运行,SS拐角处为0.47V VDDQ。 DFE减轻了基于反射的ISI,并提高了眼睛孔径。 DFE已广泛应用于串行差分接口,如USBSS和PCIe,但其在LPDDR5并行单端接口中的应用是新的,并提出了独特的挑战,因为JEDEC标准六边形眼罩定义了两种定时规范,即@Vref+/-0mV和@Vref+/-50mV。 Vref是用于测量眼睛张开度的眼睛中心中的参考电压。 根据所分析的信道,在写入期间,最佳的1抽头DFE反馈权重为约5mV,这在Vref+/-50mV时提高了眼孔径,而不会降低Vref+/-0mV时的眼孔径。 进一步增加反馈权重会导致过度均衡,导致在Vref+/-0mV时的眼睛孔径减小,即使在Vref+/-50mV时眼睛孔径仍在增加。

2023-02-25

过孔配置对PCIe5.0模块儿化解决方案端到端系统级性能的影响

由于 PCIe Gen5 规范的设计约束更加严格,因此迫切需要新的方法来减少设计迭代。 时间通常浪费在调整布局图和使用电磁 (EM) 模拟器重新模拟 PCB 以获得边际收益上,而没有确切了解最大的改进在哪里。 为了解决这个问题,我们建议将重点放在 COM-HPC 架构的载板和模块板上 PCIe Gen5 的各种设计空间参数上。具体来说,我们将探索不同的过孔配置 [过孔类型、相邻过孔的相对位置、共享返回电流过孔及其对耦合/串扰的影响],并探索衬底材料的选择。通过对不同参数对 PCIe Gen5 通道性能影响的深入理解,我们展示了如何在预布局中实现优化的系统设计。遵循相同方法的设计人员将有更大的机会一次性成功满足性能指标。我们还将通过执行仿真-测量关联来证明这种设计方法的有效性。

2023-02-22

自动化EOE IBIS-AMI建模提案

电-光-电 (EOE) 系统通常代表中继器,必须转换为 IBIS-AMI 模型才能在 SerDes 通道模拟器中使用。如今,IBIS AMI 建模流程已经成熟,流程可以自动化。这允许 EOE 设备开发人员从他们的硬件中提取数据以用于 IBIS-AMI 模型。 可以使用 S 参数或时域波形捕获电气特性。可以使用光学仪器捕获诸如高度非线性 VCSEL 器件的光学特性。本文提出了一种基于 EOE 设备测量自动创建 EOE IBIS-AMI 中继器模型的新方法。

2023-02-22

基于PCIe5.0规范的IBIS-AMI模型说明.pdf

我们将演示如何转换 PCI Express (PCIe) 5.0 的电气规范文档并生成等效的 IBIS-AMI 模型来表示重要的电气信号行为。 发射器上的关键信号行为是 3 阶前馈均衡 (FFE)。在接收器上,关键规范行为是连续时间线性均衡器 (CTLE)、决策反馈均衡器 (DFE) 和时钟数据恢复 (CDR)。 将显示从发送器参考的规范抖动到单独的发送器和接收器组件的转换。 IBIS-AMI 模型将在端到端通道仿真中设置,以演示系统级性能及其与规范假设的匹配情况。

2023-02-22

网页设计与制作作业和答案3篇

三个大学的网页设计与制作作业,包含单选、多选等题型,附有参考答案。 通过本资源可以学习到基础的网页设计知识点,对已学知识进行回顾,对于没有学习过的同学也是非常好的预习资源。Dreamweaver和asp、JavaScript、VBScript(Microsoft visual basic scripting edition)以及SQL等都包含有相关的试题。 适合大学生或者教师进行学习和布置作业。

2022-12-29

用Python+Tkinter写的元旦和新年倒计时代码

可以找一些背景音乐进行打包生成可执行文件,有这方面的需求可以私我。 马上元旦和新年就要到了,我使用python+Tkinter写了一个小界面,显示从现在开始到元旦和春节的倒计时时间,日期、小时、分钟到秒,每一秒刷新一次。 适合人群:初学者,对python感兴趣的同学。 能学到什么:可以了解Tkinter的基本工作模式,另外就是如何调取系统时间进行显示。如何实时刷新GUI界面等等。 建议:大家下载之后可以自己再做一些优化,比如说添加背景音乐,添加比较喜庆的背景图,修改label的背景色和位置等等,比较适合初学者熟悉和了解python和tkinter界面的功能。最后提前祝大家新年快乐happy new year!

2022-12-19

大学计算机设计课程标准&小结&实验指导

大学计算机设计课程标准、计算机设计小结和计算机网络课程设计实验指导,课程标准包括学时、学分、参考书目,教学目标和学时分配等;设计小结是一篇参考范围,对计算机设计的总结;实验指导是具体的课程设计实验,内容比较丰富,适合对大学的计算机设计课程进行课外辅助。

2022-12-17

使用MATLAB进行图像处理的一些课件

使用MATLAB进行图像处理的一些课件,包括基础知识介绍和实例详解,适用于初学者,希望对大家有所帮助。

2022-11-05

(中英两本)Signal Integrity(信号完整性issues和PCB design)

本书是PCB设计相关的经典书目,理论与实际相结合、从基础入手,适合新手阅读,提供了很多设计准则和建议,涉及到的方面有EMI/串扰/传输线等。压缩包里为中文版和英文版,如果感觉中文版翻译不准确,可以翻一翻英文版本。

2022-11-05

PCB加工对过孔的孔盘蚀刻技术说明

在高速PCB设计中,表层孔盘对阻抗的影响较大,通常会选择背钻工艺。但是当内层走线距离表层小于10mil时,背钻将不再是最优选择,这时可以使用PCB的landless工艺,化学药水将表层孔盘腐蚀掉,连带内层孔壁也会去掉1mil,提高信号质量。

2022-11-05

Network Controller Sideband Interface(NC-SI)Specification

本资源包含两个文档,一个是最新的Network Controller Sideband Interface(NC-SI)SpecificationV1.1.0官方发布版本,一个是2022年5月的最新版本Network Controller Sideband Interface(NC-SI)SpecificationV1.2WIP90,但尚未官方发布,可能还会有修改,两个文档都是内容比较丰富,适合根据实际项目需求进行研读,用于实际测试时建议使用官方已经发布的版本,用于研究未来发展方向时建议使用最新版本,资源整理不易,请大家多多支持,感谢。

2022-08-31

官方I3C协议规范技术文档中文版

本资料是官方I3C英文版文档的中文版,方便大家进行阅读和研究,基本上是本人逐句翻译,并将官方的一些较难理解的逻辑进行了优化,更方便国人的阅读习惯,所以耗费了很多精力,目的是希望大家可以通过阅读此文档对I3C协议有更多的了解,并能够解决实际问题。 基本围绕着I3C总线技术概述、I3C总线协议,包括SDR(Single Data Rate)模式和HDR(High Data Rate)模式,以及I3C电气规范为主要内容。 I3C是两线双向串行总线,针对多个传感器从设备进行了优化,并且一次只能由一个I3C主设备控制。 I3C向后兼容许多旧版I2C设备,但I3C设备还支持更高的速度,新的通信模式和新的设备角色,包括随时间更改设备角色的能力(即,如果第二个I3C设备支持该功能,则初始主设备可以将主设备角色协作地传递给总线上的另一个I3C设备)。

2022-07-14

Python基础课件

Python基础教程,算是入门准备,里面有一些入门级的介绍等,帮助初学者入门。

2017-10-10

MATLAB图像处理的几个应用实例

MATLAB图像处理的几个应用实例,对图像的各种处理如加噪声偏移等

2013-04-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除