FPGA verilog 8段4位数码管

FPGA verilog 8段4位数码管试验
一、原理图如下
在这里插入图片描述
在这里插入图片描述
二、system verilog 简单驱动计数,代码较少
seg.sv

module seg(
› input wire clk,
  input wire rst_n,
› output wire[7:0] SEG,
  output wire[3:0] SEL
);

reg[7:0]  digit[0:15] = '{8'h3f, 8'h06, 8'h5b, 8'h4f, 8'h66, 8'h6d, 8'h7d,8'h07,
                          8'h7f,8'h6f, 8'h77, 8'h7c, 8'h39, 8'h5e, 8'h79, 8'h71};

reg[40:1] cnt = 40'h0000000000;

always @(posedge clk or negedge rst_n) begin
  if (!rst_n)
    cnt <= 40'h00000000;
  else
›   cnt <= cnt + 1;
end

reg[1:0] shift;

assign shift = cnt[16:15];
assign SEL = 4'b0001 << shift;
assign SEG = digit[cnt[40:25]>>shift*4];

endmodule

十六个数字verilog 要在块中赋值,改为systemverilog,一行解决。quartus ii 需新建SystemVerilog文件,新建verilog后缀直接改为sv的话, 配置文件qsf里的还是verilog file,需注意。
reg[40:1]方便理解,reg[39:0]更常用些。
cnt计数,50M晶振每秒 2^25 - 2^26 之间(2^25 32M 2^26 64M),取右数十位即15-16位 毫秒级切换一次,避免闪烁,十六进制计数,25-40共十六位,一管四位,四管显示。

三、rtl
在这里插入图片描述
四、资源占用
在这里插入图片描述

  • 10
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

yvee

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值