vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多
计数器举例

mkdir src

counter.v

module counter(
  input wire clk,
  input wire rst_n,
  output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 31'h0;
  else
    cnt <= cnt+1;

endmodule  

tb.v

module tb;
wire[31:0] out;
reg clk;
reg rst_n;

initial begin
  #10 clk <= 1'b0;
  #10 rst_n = 1'b0;
  #10 rst_n = 1'b1;
  #5000 $finish;
end

always #1 clk = ~clk;

counter c1(clk,rst_n,out);

endmodule  

编译 创建模拟snapshot

mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本
xsim_cfg.tcl

 log_wave -recursive *
 run all
 exit  
xsim tb --tclbatch xsim_cfg.tcl

打开gui

xsim --gui tb.wdb

在这里插入图片描述

  • 15
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

yvee

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值