xilinx vivado 自带仿真工具xsim信号为蓝色Z态的解决办法

13 篇文章 1 订阅

本文首发于hifpga.com

 

用过modelsim, CVS等仿真工具,头一回用xilinx自带的仿真工具,莫名其妙发现下面这条语句居然会出现蓝色Z态。

    assign gt_all_reset_done = gt0_txfsmresetdone_r2 & gt1_txfsmresetdone_r2 & gt0_rxresetdone_r3 & gt1_rxresetdone_r3;

这种情况一般是没有赋初值,但是我这句里面右边的四个信号都是有值的,所以这里就让我比较意外了。

同样的代码在 modelsim中是正常的

后面发现XLINX生成的代码中output端口是这样的,是带有wire关键字的,而我的不带,

于是我的也改成带WIRE的试试,居然就正常了,

看来往后写代码还是规矩点。至于仿真能用modelsim的不用XSIM,能用VCS的不用modelsim

 

 

  • 10
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值