关于`timescale(转载)

关于`timescale(转载) 
 
    在Verilog HDL 模型中,所有时延都用单位时间表述。使用`timescale编译器指令将时间单位与实际时间相关联。该指令用于定义时延的单位和时延精度。`timescale编译器指令格式为: 
 
 
 
`timescale time_unit / time_precision 
 
time_unit 和time_precision 由值1、10、和100以及单位s、ms、us、ns、ps和fs组成。例如: 
 
 
 
`timescale 1ns/100ps 
 
 
 
表示时延单位为1ns, 时延精度为100ps。`timescale 编译器指令在模块说明外部出现, 并且影响后面所有的时延值。例如: 
 
 
 
`timescale 1ns/ 100ps 
 
MODULE AndFunc (Z, A, B);
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值