modelsim仿真fifo和rom时候,输出出现高阻

仿真环境: Modelsim 10.1a 
仿真内容: 由quartusII 生成的fifo和rom,并进行测试。
出现问题: 仿真fifo和rom时候,输出出现高阻
问题原因: altera 的自带模型需要支持库文件。
解决方法: 找到altera_mf.v和220model.v这2个文件然后把他们一起编译一下就可以了,这两个文件在quartus 安装目录eda/sim_lib下。

注意,将这个两个文件添加之后需要先编译这两个文件,执行一次完全编译,否则不起作用!


modelsim仿真rom怎样能够输出mif文件内容:

用Modelsim仿真mif文件初始化(不是hex的)的rom,这时候需要convert_hex2ver.dll
并修改modelsim安装目录下的modelsim.ini中的行为
在 ; List of dynamically loaded objects for Verilog PLI applications 下一行处加
Veriuser = D:/Modeltech/convert_hex2ver.dll
当然,以上路径为你的convert_hex2ver.dll文件所在处。现提供下载
convert_hex2ver.dll:http://files.cnblogs.com/fpga/convert_hex2ver.rar
 
在用altera的开发板的时候,如果需要是fpga上电自动下载的话,要需要将sof文件转成hexout文件,再使用write2flash使用seral port下载 找了好久找到了write2flash,提供在这儿供大家下载
write2flash.rar:http://files.cnblogs.com/fpga/write2flash.rar

仿真时候需要将MIF文件添加到ModelSim仿真工程中!



运行一次编译和仿真后,会看到自动生成的.ver文件,该文件名同你的MIF文件。



就可以了。

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值