FPGA学习:timescale简介

12 篇文章 0 订阅
12 篇文章 1 订阅

1.timescale的介绍

 timescale包含时间单位和时间精度两部分。设定格式为`timescale timeunit / timeprecision
 timeunit和timeprecision由值1、10、和100以及单位s、ms、us、ns、ps和fs组成。

2.timescale对相对时间的影响

如果设定`timescale 1ns/1ps,#100是相对时间,等价于#100ns,而#100.1111会被认为是#100.111ns,因为它的精度高于timescaled的时间精度,而被四舍五入。

3.timescale的作用范围

在编译过程中,`timescale指令影响这一编译器指令后面所有模块中的时延值,直至遇到另一个`timescale指令或`resetall指令。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值