自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(114)
  • 资源 (49)
  • 收藏
  • 关注

原创 【Zynq】【XADC】Zynq使用XADC测量外部电压

Zynq内部自带的XADC硬核,可用于测量和监控内部重要节点的电压和芯片温度等,并且还带有外部引脚可测量外部电压,其分辨率为12bit,单端测量范围为0~1V。

2024-05-15 18:04:05 209

原创 【Zynq】【Lwip】解决使用官方lwip模板时自动协商失败的问题

近期有小伙伴在使用ACZ702开发板尝试官方的lwip模板做实验时,出现自动协商失败或者Phy设置失败的问题这个问题可以在bsp中关闭自动协商解决,但治标不治本,因此这里教大家如何一劳永逸的解决这个问题。备注:使用lwip echo server模板时,关闭自动协商会出现Link up/down反复的Bug,要么根据本帖修改源码,要么更换其它模板关闭自协商。

2024-05-15 17:40:18 732

原创 【ZYNQ】ZYNQ器件的DDR3存储器使用相关知识介绍

PS部分的DDR3存储器使用,不需要,也不能调用MIG IP来控制,只需要在设计中添加ZYNQ7 Processing System 组件,并配置好DDR相关的参数,即可使用。回到ACZ702开发板本身,该开发板PS侧设计了32位的DDR3存储器模组,但PL侧没有再设计独立的DDR3存储器,PL侧不设计独立的DDR3存储器主要是因为PL侧总共也就100或125个管脚,而一片16位的DDR存储器就要使用40多个FPGA管脚,所以在不是特别必要的情况下,就没有在PL侧设计独立DDR3存储器。

2024-05-10 16:18:31 652

原创 【ZYNQ逻辑】AXI接口转换模块设计

DDR作为大容量存储器件,在FPGA设计中占有十分重要的地位。对于xilinx的7系列fpga以及Zynq-7000系列SoC来说,要想实现数据对DDR的读写,通用的解决方案是使用mig软核作为控制器,控制数据的读写进行。ACZ702和ACZ7015开发板使用的主控器件隶属于Zynq-7000系列。与ACX720所使用的Artix-7系列的XC7A35T器件不同的是,这些器件PS侧自带有硬核DDR控制器,PL端(FPGA逻辑部分)可以通过AXI总线使用PS端的DDR控制器完成对DDR的读写操作。

2024-05-10 15:59:32 487

原创 【Zynq】vivado2019.1 sdk下载程序时报错的解决方案

这个是vivado2019.1的软件bug,xilinx官方给出了解决方案。如题,如果在Vivado2019.1的sdk中下载程序时,出现以下情况。可以参考Xilinx官方给的帖子,下载补丁。

2024-05-10 15:00:07 240

原创 【ZYNQ】逻辑设计固化流程

3.将SD卡插入开发板中,切换启动模式为SD卡启动后为开发板上电,如果开发板成功启动并出现预期现象,说明固化成功,反之用户需要检查是否有步骤存在错误或遗漏。例如,ACZ702开发板使用的是两片16位的型号为MT41K128M16 JT-125的DDR3存储芯片,配置时就只需要配置DDR型号。5.将开发板断电,切换到QSPI模式后重新上电,如果开发板成功启动并出现预期现象,说明固化成功,反之用户需要检查是否有步骤存在错误或遗漏。2.创建FSBL工程完成后,SDK会对工程编译,生成我们所需的FSBL.elf。

2024-05-10 14:30:36 545

原创 使用MIG 7 series IP核导致Vivado闪退的解决方法

在使用Vivado创建MicroBlaze软核,然后添加了MIG 7 Series软核后,在进行Generate Block Design时会出现闪退问题,且工程目录下的。日志内容为An unexpected error has occurred (EXCEPTION_ACCESS_VIOLATION),表示发生了意外错误。_mig_7series_0_1路径下会出现hs_err_pid开头的异常日志,这里。问题即可解决,怀疑是由于编码或者软件Bug的原因导致的。解决方法是,使用文本格式打开上述目录下的。

2024-05-10 10:57:59 239

原创 国产智多晶FPGA使用Modelsim仿真RTL设计方法

这里给大家介绍国产FPGA厂家“西安智多晶”微电子的FPGA使用Modelsim软件仿真智多晶FPGA的RTL设计的方法。本博客将陆续发表更多国产FPGA的开发和使用方法。在前面fpga_led小节讲解了智多晶fpga的基本开发流程,并讲解了使用Modelsim编译SA5Z系列器件库。本节我们在fpga_led工程的基础上讲解智多晶fpga联合Modelsim进行仿真验证的流程。...

2022-07-23 22:36:28 1719 1

原创 国产智多晶FPGA使用Modelsim仿真时器件库的编译方法

本帖介绍使用Modelsim仿真智多晶FPGA设计时候需要用到的各种底层库的编译方法。使用Modelsim仿真智多晶FPGA的设计工程,需要首先对其器件底层库文件进行编译以得到Modelsim能够识别的库文件。该步骤需要在第一次使用智多晶FPGA的时候进行,而编译出来的库后续可以随时使用,不用每次仿真之前再编译。因此本内容单独摘出来作为一节。...

2022-07-23 22:14:18 1058 1

原创 国产智多晶FPGA基于Verilog的设计开发流程

这里给大家介绍国产FPGA厂家“西安智多晶”微电子的FPGA基于Verilog进行逻辑设计的开发流程,步骤详细,可作为大家的评估参考。

2022-07-23 22:00:26 1423

原创 国产智多晶FPGA 带Cortex-M3硬核CPU的FPGA器件简介

这里给大家介绍国产FPGA厂家“西安智多晶”微电子带Cortex-M3硬核CPU的FPGA芯片的相关资源。本博客将陆续发表更多国产FPGA的开发和使用方法。

2022-07-23 21:42:51 1941

原创 国产智多晶FPGA下载器(调试器)的驱动安装方法

这里给大家介绍国产FPGA厂家“西安智多晶”微电子的FPGA下载程序时用到的下载器(调试器)的驱动安装方法。本博客将陆续发表更多国产FPGA的开发和使用方法。

2022-07-23 21:35:51 1357

原创 国产智多晶FPGA开发软件HqFPGA下载和安装方法

智多晶FPGA 设计开发软件HQFPGA软件安装说明

2022-07-23 21:24:48 1407

原创 【工程源码】ARM汇编指令 连载二

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。ARM微处理器的指令集是加载/存储型的,即指令集中大部分指令仅能处理寄存器中的数据,而且处理结构都要放回寄存器。而对系统存储器的访问则需要通过专门的加载/存储指令来完成。ARM微处理器的指令集可以分为数据处理指令、数据加载指令与存储指令,分支指令、程序状态寄存器(PSR)处理指令、...

2020-02-29 20:52:45 235

原创 【工程源码】FPGA免费视频课程观看地址和介绍

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。1、《FPGA设计思想与验证方法视频教程》该视频属于小梅哥的经典之作,共20集,按照循序渐进的方式,依次讲解FPGA开发中的各种常见设计和调试方法,并涵盖了非常多的应用知识点,整个课程全部现场讲解原理,现场写代码调代码,非常适合0基础的用户学习。以下为课程在线观看链接。ht...

2020-02-29 20:49:43 461

原创 【工程源码】数字信号处理学习——混频器

混频混频是指将信号从一个频率变换到另外一个频率的过程 ,其实质是频谱线性搬移的过程。简单的说,就是两个信号相乘。相乘的结果就得到两种频率,和频、差频。可以用积化和差公式观察和频、差频信号的产生。在模拟电路中经常见到的就是把接收机接收到的高频信号,经过混频变成中频信号。上次课设用三极管搭了一个混频器,频率太高,搞到头大!最后还是用了乘法器芯片。当然这次设计的是数字混频。数字混...

2020-02-29 20:14:30 2708

原创 【工程源码】ubuntu18.04使用静态ip

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。 ubuntu 18.04已经正式发布。后面会有更多小伙伴会迁移到这个系统。所以将这个设置ip的帖子更新了。 在ubuntu18.04中,使用 NetPlan 进行网络管理。而且16.04之前使用的/etc/network/interfaces也已经不再使用。现在必须使用...

2020-02-29 19:55:46 195

原创 【工程源码】ARM汇编指令 连载一

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。虽然现在很少有程序使用汇编进行编写,但是了解一下还是很有必要的。了解这个有些bug找起来会快很多。我现在看一些执行起来很奇怪的代码,就直接看看对应的汇编部分,很多C语言中不易发现的问题,汇编一目了然。 相信了解过arm汇编的都听说过ARM指令集,Thumb指令集。现在...

2020-02-29 19:34:15 230 1

原创 【工程源码】已经安装器件库却报未安装——解决方案

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。在软件安装过程中,明明安装了器件库。打开 Quartus II 却显示没有安装的情况: 我们点击YES,指向device所在的目录,安装器件即可。 (点击否,重新安装,发现器件已经变成了不可选状态,无法解决问题。)...

2020-02-28 20:42:40 752

原创 【工程源码】BMP2mif文件软件,方便使用ROM存储图像数据并显示

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。BMP2mif文件软件,方便使用ROM存储图像数据并显示用。懂的下载...

2020-02-28 20:38:14 1273

原创 【工程源码】Quartus时序约束教程

本文FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

2020-02-28 20:32:30 1570

原创 【工程源码】Verilog语言例程《王金明:《Verilog HDL 程序设计教程》》

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

2020-02-28 20:19:05 1072

原创 【工程源码】无法下载FPGA程序,无法start,找不到下载器

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。很多新手在刚开始接触FPGA学习的时候,都不会下载程序,经常出现无法下载程序,或者说下载窗口找不到下载器,或者Start按钮是灰色的情况,如下图1所示:这种情况下,会显示NoHardware,可以点击左上角的Hardware Setup按钮进入下载器设置界面,进入界面...

2020-02-28 19:39:05 6761

原创 【工程源码】NIOS II 自定义IP核的静态地址对齐和动态地址对齐

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。如果使用静态地址对齐(每个寄存器在Avalon总线上占4个字节的地址)设置IP使用静态地址对齐的方式为,在hw.tcl脚本里加上一局话:set_interface_property as addressAlignment {NATIVE}在软件编程时可以使用IOWR(基地址,寄...

2020-02-27 19:20:35 245

原创 【工程源码】NIOS II 软件程序固化的相关知识

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。片上RAM和ROM的SOPC系统1、生成hex文件2、将hex文件添加到quartus工程中(添加qip文件)3、对工程进行全编译4、下载sof就可以看到程序运行5、将sof转换为jic文件,烧写到EPCS中,就能掉电保存使用片外RAM作为CPU内存时候的程序固化方案1...

2020-02-27 19:17:09 309

原创 【工程源码】使用华邦的SPI FLASH作为EPCS时固化NIOS II软件报错及解决方案

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。Altera器件有EPCS系列配置器件,其实,这些配置器件就是我们平时通用的SPIFlash,据AlteraFAE描述:“EPCS器件也是选用某家公司的SPIFlash,只是中间经过Altera公司的严格测试,所以稳定性及耐用性都超过通用的SPIFlash”。就本人看来,半导体的稳定...

2020-02-27 19:11:35 784

原创 【工程源码】如何在设备驱动冲突导致蓝屏的情况下强制删除该设备驱动

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。插上设备,在设备管理器中选择要卸载的设备驱动,卸载其驱动,大家都会,但是万一设备一插上电脑就蓝屏,连设备管理器打开的机会都不给你咋办呢?这里找到了解决办法。该办法还可以帮忙清理一大堆无用的驱动哦。这两天,玩儿了下CrazyBingo的那个USB Camera。他那个Camera是用US...

2020-02-27 19:04:57 188

原创 【工程源码】CYUSB3014芯片使用EEPROM无法下载固件说明

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。当使用128KB的EEPROM存储CYUSB3014芯片的固件时,需要注意,不同厂家的EEPROM存储器,其A0、A1、A2功能不一样,在设计时电路也不一样。Microchip对应的128KB的EEPROM存储器芯片型号为24LC1025。Atmel的128KB的EEPROM存储器型号为...

2020-02-27 18:55:34 978

原创 【工程源码】Altera FPGA 开启引脚片上上拉电阻功能

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。Cyclone IV E FPGA的通用输入输出管脚都支持内部弱上拉电阻,但是时钟输入脚不支持。所以,当需要上拉电阻的信号(如本例中的矩阵键盘Row信号和IIC协议中的SDA、SCL信号)连接到了FPGA的通用输入输出管脚上,在一些要求不高的场合,就可以使用片上上拉电阻来为这些信号设...

2020-02-26 21:01:46 1033

原创 【工程源码】SOPC开发流程之NIOS II 处理器运行 UC/OS II

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。第一步:建立 Quartus II 工程建立 Quartus II 工程时需要注意以下几点1、 器件选择为 EP4CE10F17C8N;2、 工程路径中不得出现非法字符(空格和中文字符);3、 开发工具选择 Quartus II 11.0及以上,这里我选择的版本为 Quartus...

2020-02-26 20:36:40 338

原创 【工程源码】给NIOS II CPU增加看门狗定时器并使用

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。配置看门狗定时器:1. 设置计时溢出时间为1秒2. 计数器位宽为32位3. 勾选No Start/Stop control bits4. 勾选Fixed period5. 不勾选Readable snapshot6. 勾选System reset on timeout.(Wa...

2020-02-26 20:06:30 332

原创 【工程源码】Altera SOPC FrameBuffer系统设计教程

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。在嵌入式系统中,LCD屏作为最友好的人机交互方式,被大量的应用到了各个系统中。在基于ARM处理器的系统中,应用更是非常广泛。FPGA作为广义嵌入式系统的一员,自然也有很多时候需要来驱动显示屏显示一些内容,例如经常有需求要用FPGA来做液晶测试架,做显示器驱动测试卡。很多学习了FPGA的朋...

2020-02-26 19:32:12 966

原创 【工程源码】基于FPGA的XPT2046触摸控制器设计

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。XPT2046是一款设计用于移动电话、个人数字助理、便携式一起、付款中断设备、触摸屏显示器等设备的4线制电阻触摸屏控制器。该芯片实质为一个多通道ADC+电压输出芯片,通过在不同时刻对电阻触摸屏的两组不同电极上分别施加电压,然后测量另一组电极上的电压值,从而获取触摸点的X或Y位置坐标,进而...

2020-02-26 18:56:01 1221 1

原创 【工程源码】NIOS II下基于中断的UART接收和发送设计示例代码

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。#include "sys/alt_stdio.h"#include "altera_avalon_uart_regs.h"#include "system.h"#include "altera_avalon_pio_regs.h"#include "alt_types.h"...

2020-02-25 18:54:42 644

原创 【工程源码】NIOS II SOPC系统自定义IP常见知识点总结

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。封装IP1、将写好的Verilog代码添加在Quartus工程中,IP目录下,(如果没有,自己建一个)2、打开Qsys工具,选择New Component3、name和Display name输入合理的IP名称、输入IP的分组名称或者选择一个合理的分组4、添加文件时,添加这...

2020-02-25 18:50:37 261

原创 【工程源码】 NIOS II 自定义IP核编写基本框架

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。关于自定义IP1、接口 a、全局信号 时钟(Clk),复位(reset_n) b、avalon mm slave 地址(as_address) 片选(as_chipselect /as_chipselect_n) ...

2020-02-25 18:46:02 432

原创 【工程源码】 SoC FPGA JTAG电路设计 要点

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。JTAG协议制定了一种边界扫描的规范,边界扫描架构提供了有效的测试布局紧凑的PCB板上元件的能力。边界扫描可以在不使用物理测试探针的情况下测试引脚连接,并在器件正常工作的过程中捕获运行数据。SoC FPGA作为在同一芯片上同时集成了FPGA和HPS的芯片,其JTAG下载和调试电路...

2020-02-25 18:39:37 902

原创 【工程源码】 Altera DDR2控制器

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。Altera DDR2控制器使用IP的方式实现,一般很少自己写控制器代码。ddr22 ddr22_inst ( .aux_full_rate_clk (mem_aux_full_rate_clk), .aux_half_rate_clk (mem_aux_h...

2020-02-25 18:31:44 481

原创 【工程源码】 阻塞赋值与非阻塞赋值原理分析

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。阻塞赋值,操作符为“=”,“阻塞”是指在进程语句(initial和always)中,当前的赋值语句会阻断其后语句的正常执行,也就是说后面的语句必须等到当前的赋值语句执行完毕才能执行。而且阻塞赋值可以看成是一步完成的,即:计算等号右边的值并同时赋给左边变量。非阻塞赋值,操作符为“<...

2020-02-24 20:04:41 778

原创 【工程源码】 LCD1602数据手册

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

2020-02-24 19:38:36 1812

接口初始化Verilog代码.rar

之前一直有网友吐槽CMOS摄像头的初始化使用NIOS来进行初始化,由于绝大多数网友对NIOS的操作并不熟练,因此无法使用我们提供的工程进行更多的自主开发,小梅哥在今天,把这个控制器写了出来,在AC620开发板上进行了测试,能够成功的完成OV5640摄像头的初始化工作,大家有需要的可以拿去使用。附件还提供了两个使用该控制器在AC620开发板上实现摄像头OV5640/OV7670采集,SDRAM存储,5/4.3寸/VGA触摸屏显示的工程,可以作为大家使用该控制器时候的参考。注意,两个摄像头的初始化模式是不一样的,OV5640的寄存器地址是16位宽度,OV7670的是8位宽度。i2c_control模块有个addr_mode信号,为0则是8位地址宽度,对应OV7670,为1则是16位地址宽度,对应OV5640.

2020-02-14

mif精灵压缩文件.rar

在实际做项目中我们经常会用到mif(或者coe)文件来初始化rom,但是自己去编写mif(或者coe)文件又比较繁琐,有时候还不一定编写正确,在这里给大家提供了一个mif(coe)文件生成器,它能直接生成我们常用的mif(或者coe)文件。

2020-02-14

digfacewide字体压缩文件.zip

近期需要在LCD液晶屏上显示数码管形式的数字,想了好久也找不到好的解决方案,在编写使用PCtoLCD2002字模软件进行字库制作的文档笔记时,突然灵机一动,如果能够找到一种数码管字体,然后再在字模制作软件中使用此种字体对数字进行取模,就能显示数码管风格的数字了,于是网上一搜索,还真叫我找到一个数码管字体文件,安装到电脑中后,在字模软件中选择digfacewide字体,然后输入0~9的数字,就能生成数码管风格的字库了。以下为该字体在word中显示的效果以下为字体文件,下载解压后双击即可安装。

2020-02-14

cmos_init.rar

OV5640提供了一个SCCB接口用来接受应用处理器的各种初始化设置,该接口完全兼容I2C总线,所以这里提供了一个基于我们通用的I2C控制器的实现程序, 这是一个非常好用的OV5640摄像头I2C控制器,不仅包括了I2C控制器,还包括了OV5640工作在不同模式时候的各种寄存器配置表。无论您是直接拿去自用还是作为参考,都非常方便。该控制器可以通过简单的几个参数配置支持各种工作模式和分辨率,压缩包中配套有简单的应用说明文档。大家可以根据应用说明文档介绍的方法将该控制器加入到自己的设计中并使用。

2020-02-14

TFT显示屏模组资料rar

附件为芯航线FPGA学习套件【4.3寸TFT触摸液晶组件】原理图文件,供需要的朋友下载。本模块分为V1.0和V1.1两个版本,1.1版本增加了SD卡接口,取消了兼容DE2的40P接口。新增V2.0版本,V1.0和V1.1是红色PCB,主要给Starter开发板使用,V2.0位黑色PCB,给AC620和AC6102使用

2020-02-12

AC620_VGA_Dual_OV7725_1280720.rar

【开源资料】基于FPGA双目摄像头转接板,板子是自己画的,程序全是用的CrazyBingo蜀黍的,不是我自己写的。一个单个OV5640的例子,接法在压缩包中有图片。一个双目OV5640的例子

2020-02-12

AC620_OV5640V2_SDRAM_TFT800_Dual2.rar

【开源资料】基于FPGA双目摄像头转接板,板子是自己画的,程序全是用的CrazyBingo蜀黍的,不是我自己写的。一个单个OV5640的例子,接法在压缩包中有图片。一个双目OV5640的例子

2020-02-12

04_vga_dual_ov5640_1280720_v1.rar

【开源资料】基于FPGA双目摄像头转接板,板子是自己画的,程序全是用的CrazyBingo蜀黍的,不是我自己写的。一个单个OV5640的例子,接法在压缩包中有图片。一个双目OV5640的例子

2020-02-12

Dual_Camera.pdf

【开源资料】基于FPGA双目摄像头转接板,板子是自己画的,程序全是用的CrazyBingo蜀黍的,不是我自己写的。一个单个OV5640的例子,接法在压缩包中有图片。一个双目OV5640的例子

2020-02-12

双摄转接板信号顺序.xlsx

【开源资料】基于FPGA双目摄像头转接板,板子是自己画的,程序全是用的CrazyBingo蜀黍的,不是我自己写的。一个单个OV5640的例子,接法在压缩包中有图片。一个双目OV5640的例子

2020-02-12

GM7123_VGA.pdf

芯航线FPGA学习套件【ADV7123/GM7123 VGA视频输出模块】原理图 附件为芯航线FPGA学习套件【ADV7123 VGA视频输出模块】原理图文件,供需要的朋友下载。

2020-02-12

XLH_USB_Console.rar

本实例使用到了小梅哥AC6102开发板的USB3.0功能、DDR2存储器和5寸TFT显示屏。 使用时需要使用我们提供的USB上位机软件发送16位色图像的二进制数据到FPGA,FPGA会将接收到的数据实时存储到AC6102开发板上的DDR2存储器中。

2020-02-12

AC6102_USB30_IMG_DDR_TFT50.rar

本实例使用到了小梅哥AC6102开发板的USB3.0功能、DDR2存储器和5寸TFT显示屏。 使用时需要使用我们提供的USB上位机软件发送16位色图像的二进制数据到FPGA,FPGA会将接收到的数据实时存储到AC6102开发板上的DDR2存储器中。

2020-02-12

Visio画时序组件.rar

Visio画时序图组件,实用方法很简单,下载,解压,然后放到一个固定的位置,Visio默认的查找位置为“D:\用户目录\我的文档\我的形状” 然后打开Visio,选择更多形状,我的形状,一般就添加进来了,没有添加的话,点击“组织我的形状”,看看下面有没有vss格式的三个文件,如果有的话,关掉重开就有了。

2020-02-11

AHT_CT.rar

功能描述: 左边部分的数码管显示的是当前相对湿度:46.2% 右边部分的数码管显示的是当前的温度:28.6℃ AHT10模块是3.3V供电,所以接线的时候就近接在GPIO0的3.3V供电附近 具体接线方式: SCL接PIN_D3 SDA接PIN_E5

2020-02-11

合并sof和elf生产jic文件脚本E30.rar

文件分成两个版本, “合并sof和elf生产jic文件脚本.rar”为EP4CE10+EPCS16的版本,适用于小梅哥所有以EP4CE6、EP4CE10 FPGA芯片开发的FPGA开发板。如AC620、AC601、Starter等等 “合并sof和elf生产jic文件脚本 - 4ce30.rar”为EP4CE30+EPCS64的版本,适用于小梅哥所有以EP4CE30、EP4CE40 FPGA芯片开发的FPGA开发板。如AC6102 工具说明 本工具实现将Quartus ii编译产生的sof文件和NIOS II EDS编译产生的elf文件合并为jic文件,以方便烧写。 根据测试,本工具使用需要具备以下条件: 1、quartus ii软件版本在13.0及以上 2、quartus ii编译生成的sof文件输出目录为根目录下的output_files文件夹下。很多从老版本修改过来工程sof输出目录在工程根目录,因此需要用户自行修改脚本和cof文件 3、nios ii的软件工程路径为quartus 工程根目录下的software文件夹下(一般都能满足) 使用方法 1、将generate_jic.tcl、generate_jic.sh、generate_jic.cof文件拷贝到你的nios ii软件工程下。 2、在eclipse中选中应用工程,注意是应用工程,不是BSP工程,右键->NIOS II->NIOS command shell。 3、NIOS command shell中输入"./generate_jic.sh"。 (运行完成后,会在Quartus II工程根目录下生成一个myoutput_files的文件夹,同时将generate_jic.tcl、generate_jic.cof文件拷贝到工程根目录下。) 4、在quartus ii中点击Tools -> Tcl Scripts,选中generate_jic.tcl,点击run, (运行成功,会在myoutput_files目录下生成名叫hs_combined.jic的文件) 5、烧写hs_combined.jic到FPGA中,对板卡断电重新上电,新固件就可以开始运行了。

2020-02-11

合并sof和elf生产jic文件脚本E10.rar

文件分成两个版本, “合并sof和elf生产jic文件脚本.rar”为EP4CE10+EPCS16的版本,适用于小梅哥所有以EP4CE6、EP4CE10 FPGA芯片开发的FPGA开发板。如AC620、AC601、Starter等等 “合并sof和elf生产jic文件脚本 - 4ce30.rar”为EP4CE30+EPCS64的版本,适用于小梅哥所有以EP4CE30、EP4CE40 FPGA芯片开发的FPGA开发板。如AC6102 工具说明 本工具实现将Quartus ii编译产生的sof文件和NIOS II EDS编译产生的elf文件合并为jic文件,以方便烧写。 根据测试,本工具使用需要具备以下条件: 1、quartus ii软件版本在13.0及以上 2、quartus ii编译生成的sof文件输出目录为根目录下的output_files文件夹下。很多从老版本修改过来工程sof输出目录在工程根目录,因此需要用户自行修改脚本和cof文件 3、nios ii的软件工程路径为quartus 工程根目录下的software文件夹下(一般都能满足) 使用方法 1、将generate_jic.tcl、generate_jic.sh、generate_jic.cof文件拷贝到你的nios ii软件工程下。 2、在eclipse中选中应用工程,注意是应用工程,不是BSP工程,右键->NIOS II->NIOS command shell。 3、NIOS command shell中输入"./generate_jic.sh"。 (运行完成后,会在Quartus II工程根目录下生成一个myoutput_files的文件夹,同时将generate_jic.tcl、generate_jic.cof文件拷贝到工程根目录下。) 4、在quartus ii中点击Tools -> Tcl Scripts,选中generate_jic.tcl,点击run, (运行成功,会在myoutput_files目录下生成名叫hs_combined.jic的文件) 5、烧写hs_combined.jic到FPGA中,对板卡断电重新上电,新固件就可以开始运行了。

2020-02-11

AC620_ACM8211_UDP_LoopBack_DEMO.rar

1、按照连接示意图所示方法将开发板和ACM8211连接好 2、将工程拷贝到非中文目录下打开,注意是要在非中文和不含空格的路径下打开 3、烧写GMII_UDP_Loopback_Test.sof到开发板中。 4、将网线一端插入电脑,一段插入ACM8211的网口 5、在电脑上查看网络连接速率,确保为1Gbps 6、设置电脑有线网卡的IP地址为192.168.0.3 7、将开发板IP地址静态绑定到其静态IP(192.168.0.2)上。 8、打开NetAssist.exe软件,如果提示更新,请不要更新,新版有bug 9、选择UDP模式、本机IP为192.168.0.3,本机端口号为6000 10、点击连接 11、手动修改目的主机IP为192.168.0.2,端口号为5000 12、点击发送,程序能正常发送数据出去,开发板能够收到数据并将数据原封不动返回给电脑。 13、使用过程可能需要关闭防火墙(注意,是防火墙,不是安全软件)

2020-02-10

AC620_ACM8211_OV5640_UDP_Camera.rar

1、按照连接示意图所示方法将开发板和ACM8211连接好 2、将工程拷贝到非中文目录下打开,注意是要在非中文和不含空格的路径下打开 3、烧写GMII_UDP_Loopback_Test.sof到开发板中。 4、将网线一端插入电脑,一段插入ACM8211的网口 5、在电脑上查看网络连接速率,确保为1Gbps 6、设置电脑有线网卡的IP地址为192.168.0.3 7、将开发板IP地址静态绑定到其静态IP(192.168.0.2)上。 8、打开NetAssist.exe软件,如果提示更新,请不要更新,新版有bug 9、选择UDP模式、本机IP为192.168.0.3,本机端口号为6000 10、点击连接 11、手动修改目的主机IP为192.168.0.2,端口号为5000 12、点击发送,程序能正常发送数据出去,开发板能够收到数据并将数据原封不动返回给电脑。 13、使用过程可能需要关闭防火墙(注意,是防火墙,不是安全软件)

2020-02-10

AC609_ACM8211_UDP_LoopBack_DEMO.rar

1、按照连接示意图所示方法将开发板和ACM8211连接好 2、将工程拷贝到非中文目录下打开,注意是要在非中文和不含空格的路径下打开 3、烧写GMII_UDP_Loopback_Test.sof到开发板中。 4、将网线一端插入电脑,一段插入ACM8211的网口 5、在电脑上查看网络连接速率,确保为1Gbps 6、设置电脑有线网卡的IP地址为192.168.0.3 7、将开发板IP地址静态绑定到其静态IP(192.168.0.2)上。 8、打开NetAssist.exe软件,如果提示更新,请不要更新,新版有bug 9、选择UDP模式、本机IP为192.168.0.3,本机端口号为6000 10、点击连接 11、手动修改目的主机IP为192.168.0.2,端口号为5000 12、点击发送,程序能正常发送数据出去,开发板能够收到数据并将数据原封不动返回给电脑。 13、使用过程可能需要关闭防火墙(注意,是防火墙,不是安全软件)

2020-02-10

XADC-Measure.zip

XADC_Measure.zip

2024-05-15

ch38-acz7015-fifo-axi4-adapter.rar

ch38_acz7015_fifo_axi4_adapter.rar

2024-05-10

ch38-acz702-fifo-axi4-adapter.rar

ch38_acz702_fifo_axi4_adapter.rar

2024-05-10

led-flash-bin.rar

led_flash_bin.rar

2024-05-10

TimeQuest Timing Analyzer.rar

【工程源码 Quartus 时 序 约 束 教 程,本 文 由 FPGA 爱好 者 小 梅 哥 编 写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

2020-02-28

Verilog例程.rar

【工程源码】Verilog语言例程《王金明:《Verilog HDL 程序设计教程》》 本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

2020-02-28

BMP2Mif.rar

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。BMP2mif文件软件,方便使用ROM存储图像数据并显示用。懂的下载

2020-02-28

1602A液晶显示模块使用手册.pdf

本 文 和 设 计 代 码 由 FPGA 爱 好 者 小 梅 哥 编 写,未 经 作 者 许 可,本 文 仅 允 许 网 络 论 坛 复 制 转 载,且 转 载 时 请 标明原作者。【工程源码】 LCD1602数据手册

2020-02-24

新建文件夹PDF教程.rar

【工程源码】基于FPGA的各种分辨率的VGA时序,本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。以下为手册中包含的各种分辨率,时序参数写的非常清楚,以800*600@60Hz为例

2020-02-21

关于ddr3的资料压缩包.rar

发 一 些 ddr3 的 资 料,方 便 自 己,方 便 他 人。有 兴 趣 的 朋 友 可以 看 一 下。

2020-02-21

filter.rar

主要有两种方式,一是matlab滤波器工具箱设计并生成Verilog或VHDL的代码,二是matlab滤波器工具箱设计并export 系数,又FIR II ip core import。先说下滤波器设计工具箱,filter Designer 低版本叫做 fdatool

2020-02-21

bin28_BCD32.rar

学过C语言的都知道,如果对于一个十进制的数字123,要想将其每一位分别提取出来,显示在数码管这样的设备上,一般需要使用如下的算法来实现 bai = 123 / 100; shi = 123%100/10; ge = 123%10。 这个算法在C语言里面用起来,虽然也耗费时间,但是大家一般也都这么用,在FPGA中,使用这个算法,虽然也能实现,但是及其耗费FPGA资源,需要用到除法器,求于器。所以我们在FPGa中一般不使用上述算法,当然,很多网上下载的各种“本科生毕业论文”里面,还是随处可见上述操作,看多之后也只能平常心对待了。 在FPGA中我们一般使用二进制转BCD算法,将二进制数据转换为BCD码,然后就可以直接将BCD码送给数码管显示了,附件为代码和测试工程,包括仿真脚本,大家先自行学习,又不懂的可以直接在本帖下提问。

2020-02-20

ac620_calculator_key_board.zip

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。【工程源码】基于FPGA的计算器,有兴趣的朋友可以参考一下。

2020-02-20

DVP_Capture.rar

OV5640提供了一个DVP接口用来输出采集到的图像数据流,本文提供了一个将DVP接口的图像数据接收并转换为RGB565图像格式的控制逻辑,使用该控制逻辑,可以非常方便的将摄像头输出的图像数据以RGB565像素格式写入到RAM或FIFO中这是一个非常好用的OV5640摄像头数据流接口,不仅能够接收数据流,还能够实时输出每个像素的X、Y坐标,以供某些对图像像素位置有需求的应用中使用。同时,该逻辑还实现了对摄像头刚开始输出图像的前10帧数据的舍弃工作,让可能存在的不稳定图像不被输出。 该模块已经用于我们的基于FPGA的图像采集显示系统中。大家可以根据应用说明文档介绍的方法将该控制器加入到自己的设计中并使用。

2020-02-18

AC620_SDRAM_OV5642_TFT800__RGB_Y_boundary_extraction.rar

在小梅哥早期程序版本修改,把边缘检测部分移植过去完成,亲测可用。 在梅哥发布的OV5640的版本上直接修改为OV5642,则出现只显示一帧问题,目前未解决。

2020-02-18

tft_eleclock.rar

采用ac620板子,5.0tft显示屏 取模软件为PCtoLCD2002,16宽,每个字符8个像素点 quartus 13.0 verilog实现不是nios 实现功能:tft顶层显示图片,下面显示时间,,按键一二控制十分的加减,但是只有一位。

2020-02-18

Qiangdaqi.rar

功能:两位选手参加比赛,每人有10秒时间,十秒时间内无人抢答,则发出警告声响,持续三秒 其中一个人按键抢答后,数码管显示对应人的号码,并同时发出3s的声音。 一人抢答后,不允许另一个人抢答 实现平台:AC620开发板,quartus13.0,verilog

2020-02-18

digital_frequency_meter.rar

测量范围10Hz-50Mhz,通过8数数码管显示; 数字频率计的原理很简单,就是计算每秒钟内待测信号的脉冲个数,按照分模块设计的思想,分为:计数模块,数码管显示模块,控制信号模块,因为系统还需要高电平为1s的脉冲信号,所以还需要一个分频模块

2020-02-17

基于FPGA的十通道逻辑分析仪-74页精华项目教程.pdf

本文由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。 10路逻辑分析仪实例基于芯航线FPGA学习套件进行开发,实例使用到了芯航线FPGA学习套件的FPGA主板、4.3寸TFT屏、矩阵键盘、红外遥控外设。设计使用FPGA采集10路数字波形数据,并存储在FPGA片上缓存RAM中,然后使用4.3寸TFT触摸液晶显示组件进行波形显示。整个逻辑分析仪系统可使用矩阵键盘或者红外遥控进行控制,以调整数据采样率、数据采样触发方式,并调整波形显示位置。在调整过程中,蜂鸣器在接收到控制信号后发出按键音乐,以给用户提供明确的按键反馈信号,提升人机交互的体验。同时,设计还支持外接基于74HC595驱动方案的7段8位数码管模块(接在GPIO 0上),用于显示当前系统的工作状态。

2020-02-17

ac620_taxi_meter.zip

【工程源码】 基 于 FPGA 的 出 租 车 计 价 器, 新 鲜 出 炉,分 享 给 大 家,有 兴 趣 的 网友 可 以 参 考 一 下。

2020-02-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除