FFT9.0

相关文献地址:http://xilinx.eetrend.com/blog/10225

    http://blog.csdn.net/wordwarwordwar/article/details/52811408

自己的笔记:

当scaling为block flotting point 时,输出data_tuser为scaling的值;

FFT输入时序:


FFT输出时序:




注:

用IP核的时候那个scaling选项有3个选项,第一项是自动的;第二项是自己设置;第三项是不缩减。

实际应用的时候用第一项固然方便,但是,如果有一点的值特别大(估计是干扰点,imp仿真的时候出现过这种情况,本来缩进3位就OK了,

但是 有几个帧的缩进却因此达到了9位,)会失控,所以下面的方法比较好:

先RTL仿真自动缩进,看适当的缩进是多少,再改成手动配置(配置多少取决于RTL仿真得到的结果),这样既不会溢出,

也不会失控,(前提是RTL仿真要尽量考虑到所有的输入情况,尤其是输入数据的范围)






  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值