初学者——半加器

本文介绍了数字电路中的基本器件——半加器,详细讲解了半加器的工作原理及其与全加器的区别。通过FPGA开发板上的按键模拟两个输入位,LED灯显示加法结果和进位输出。使用Verilog代码实现半加器,并在Modelsim进行仿真验证,结果符合预期。
摘要由CSDN通过智能技术生成

       加法器是数字电路中经常用到的一种基本器件,主要用于两个数或者多个数的加和,加法器又分为半加器(half adder)和全加器(full adder)。

       半加器电路是指对两个输入数据位相加,输出一个结果位和进位,没有进位输入的加法器电路。是实现两个一位二进制数的加法运算电路

       全加器可以由两个半加器组合而成,除了加数和被加数加和外还要加上上一级传进来的进位信号。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值