半加器与全加器

FPGA学习篇之半加器与全加器

半加器与全加器的区别与联系



前言

  加法器作为数字电路中基本的器件,主要作用是实现两个数的加法运算。加法器有半加器和全加器之分,区别是半加器不接受低位的进位信号,全加器接受来自低位的进位信号并参与运算。


一、半加器

1.逻辑分析

  如下图所示,半加器只有两个输入和两个输出
半加器
半加器的真值表如下

In1In2SumCout
0000
0110
1010
1101

通过真值表可以得出: S u m = I n 1 ⊕ I n 2 Sum = In1 \oplus In2 Sum=In1In2 C o u t = I n 1 & I n 2 Cout = In1 \& In2 Cout=In1&In2

2.代码编写

module adderN#(parameter N = 4)(
	input [N-1 : 0] in1,
	input [N-1 : 0] in2,
	output [N-1 : 0] sum,
	output  cout
);

assign {cout,sum} = in1 + in2;

//always@(*)
//	{cout,sum} = in1 + in2;

endmodule

二、全加器

1.逻辑分析

  如下图所示,全加器的输入有来自低位的仅为信号
在这里插入图片描述
全加器的真值表如下:

In1In2CinSumCout
00000
00110
01010
10010
01101
11001
10101
11111

通过卡诺图化简可以得出 S u m = C i n ′ ( I n 1 ⊕ I n 2 ) + C i n ( I n 1 ⊙ I n 2 ) = C i n ⊕ I n 1 ⊕ I n 2 Sum =Cin'( In1 \oplus In2) + Cin( In1 \odot In2) = Cin \oplus In1 \oplus In2 Sum=Cin(In1In2)+Cin(In1In2)=CinIn1In2
C o u t = C i n ( I n 1 ∥ I n 2 ) + ( I n 1 & I n 2 ) Cout = Cin( In1 \parallel In2) +(In1 \& In2) Cout=Cin(In1In2)+(In1&In2)

2.代码编写

module adderN#(parameter N = 4)(
	input [N-1 : 0] in1,
	input [N-1 : 0] in2,
	input  cin,
	output [N-1 : 0] sum,
	output  cout
);

assign {cout,sum} = in1 + in2 + cin;
//assign {cout,sum[N-1 : 0]} = {in1[N-1],in1[N-1 : 0]} + {in2[N-1],in2[N-1 : 0]} + cin;

endmodule

三、总结

  文中通过真值表和逻辑表达式推导了半加器和全加器的原理,同时编写了verilog代码。全加器可以用两个半加器和一个或门实现,所以全加器的代码也可以调用两个半加器,再将两个半加器输出的进位信号相或得到。

  • 11
    点赞
  • 57
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值