经典设计实例_整理加解释(36-43)

36.$time与$realtime的区别

1)$time

`timescale 10ns / 1ns //仿真时间尺度

module time_dif();

reg ts = 1'b0;
parameter   delay = 2.6;
initial
    begin
        #delay  ts = 1'b1;
        #delay  ts = 1'b0;
        #delay  ts = 1'b1;
        #delay  ts = 1'b0;
    end

//initial $monitor($realtime,,,"ts = %b",ts);
initial $monitor($time,,,"ts = %b",ts);

endmodule

输出仿真结果:

                               

输出原因如下:

1. $time 显示时刻受到时间尺度比例的影响。上例中时间尺度为10ns,因为$time输出的时刻总是时间尺度的倍数,这样就将时间输出为2.6,5.2,7.8,10.4。

2.$time输出整数,所以将经过尺度变换的2.6,5.2,7.8,10.4经过取整后为3,5,8,10。

2)$realtime

`timescale 10ns / 1ns
  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值