【无标题】

笔记

第1章 EDA技术概述

1. EDA技术及其发展

EDA( Electronic Design Automation)就是以计算机工作平台, 以EDA软件工具为开发环境, 以PLD器件或者ASIC专用集成电路为目标器件设计实现电路系统的一种技术

( 1) 采用硬件描述语言( HDL) 进行设计
( 2) 逻辑综合与优化
( 3) 开放性和标准化
( 4) 更完备的库( Library)

2. Top-down设计与IP核复用

Top-down的设计须经过“设计—验证—修改设计—再验证”的过程, 不断反复, 直到结果能够实现所要求的功能, 并在速度、功耗、 价格和可靠性方面实现较为合理的平衡。
[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-sX8DUAGe-1641805461885)(vx_images/81840415226444.png)]

Bottom-up设计, 即自底向上的设计
由设计者调用设计库中的元件(如各种门
电路、 加法器、 计数器等
) , 设计组合出
满足自己需要的系统
缺点: 效率低、 易出错

IP( Intellectual Property) : 原来的含义是指知识产权、 著作权, 在IC设计领域指实现某种功能的设计。

IP核( IP模块) : 指功能完整, 性能指标可靠, 已验证的、 可重用电路功能模块
IP复用???

软IP–用VHDL等硬件描述语言描述的功能块
固IP–完成了综合的功能块
硬IP–供设计的最终阶段产品: 掩膜

3. 数字设计的流程

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-4KMJOMLb-1641805461888)(vx_images/59821015246610.png)]

设计输入

  1. 原理图输入(Schematic diagrams )
  2. 硬件描述语言 (HDL文本输入)
    ( 1) ABEL-HDL
    ( 2) AHDL
    ( 3) VHDL
    ( 4) Verilog HDL
    (3)和(4)都是IEEE标准
    硬件描述语言与软件编程语言有本质的区别

综合
将较高层次的设计描述自动转化为较低层次描述的过程

◆行为综合: 从算法表示、 行为描述转换到寄存器传输级( RTL)
◆逻辑综合: RTL级描述转换到逻辑门级( 包括触发器)
◆版图综合或结构综合: 从逻辑门表示转换到版图表示, 或转换到PLD器件的配置网表表示

综合器是能自动实现上述转换的软件工具, 是能将原理图或HDL语言描述的电路功能转化为具体电路网表的工具

布局布线可理解为将综合生成的电路逻辑网表映射到具体的目标器件中实现, 并产生最终的可下载文件的过程。

仿真仿真是对所设计电路的功能的验证
功能仿真 时序仿真

编程配置把适配后生成的编程文件装入到PLD器件中的过程称为下载。
通常将对基于EEPROM工艺的非易失结构PLD器件的下载称为编程( Program) ,
将基于SRAM工艺结构的PLD器件的下载称为配置( Configure) 。

4. 常用的EDA软件工具(估计不考)

Ø 集成的 FPGA/CPLD开发工具
Ø 逻辑综合器
Ø 仿真工具
Ø 其他设计工具

5. EDA技术的发展趋势(估计不考)

1. 高性能的EDA工具将得到进一步发展
超大规模集成电路的集成度和工艺水平不断提高。
市场对系统的集成度不断提出更高的要求。
高性能的EDA工具, 其自动化和智能化程度不断提高, 为嵌入式系统设计提供了功能强大的开发环境。
计算机硬件平台性能大幅度提高, 为复杂的SoC设计提供了物理基础。
2. EDA技术将促使ASIC和FPGA逐步走向融合
3. EDA技术的应用领域将越来越广泛

第2章 FPGA/CPLD器件

2.1 PLD器件概述

按编程特点分类:
PLD器件按照可以编程的次数可以分为两类:
(1) 一次性编程器件( OTP, One Time Programmable)
(2) 可多次编程器件
OTP类器件的特点是: 只允许对器件编程一次, 不能修改, 而可多次编程器件则允许对器件多次编程, 适合于在科研开发中使用。

按编程元件和编程工艺分类:

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值